ath79: add support for indicating the boot state using multiple leds
[openwrt/staging/hauke.git] / target / linux / ath79 / dts / ar9331_tplink_tl-wr703n_tl-mr10u.dtsi
index e061cbe59293300b6f43aab427d1a4d17ae1ea83..213a96556b6ad6e5fca46bd53017d2fe32899279 100644 (file)
@@ -9,7 +9,10 @@
 / {
        aliases {
                serial0 = &uart;
-               led-status = &led_system;
+               led-boot = &system;
+               led-failsafe = &system;
+               led-running = &system;
+               led-upgrade = &system;
        };
 
        gpio-keys-polled {
@@ -27,7 +30,7 @@
        gpio-leds {
                compatible = "gpio-leds";
 
-               led_system: system {
+               system: system {
                        label = "tl-wr703n:blue:system";
                        gpios = <&gpio 27 GPIO_ACTIVE_LOW>;
                };