Merge "plat: xilinx: zynqmp: Initialize IPI table from zynqmp_config_setup()" into...
[project/bcm63xx/atf.git] / docs / getting_started / user-guide.rst
1 User Guide
2 ==========
3
4 This document describes how to build Trusted Firmware-A (TF-A) and run it with a
5 tested set of other software components using defined configurations on the Juno
6 Arm development platform and Arm Fixed Virtual Platform (FVP) models. It is
7 possible to use other software components, configurations and platforms but that
8 is outside the scope of this document.
9
10 This document assumes that the reader has previous experience running a fully
11 bootable Linux software stack on Juno or FVP using the prebuilt binaries and
12 filesystems provided by `Linaro`_. Further information may be found in the
13 `Linaro instructions`_. It also assumes that the user understands the role of
14 the different software components required to boot a Linux system:
15
16 - Specific firmware images required by the platform (e.g. SCP firmware on Juno)
17 - Normal world bootloader (e.g. UEFI or U-Boot)
18 - Device tree
19 - Linux kernel image
20 - Root filesystem
21
22 This document also assumes that the user is familiar with the `FVP models`_ and
23 the different command line options available to launch the model.
24
25 This document should be used in conjunction with the `Firmware Design`_.
26
27 Host machine requirements
28 -------------------------
29
30 The minimum recommended machine specification for building the software and
31 running the FVP models is a dual-core processor running at 2GHz with 12GB of
32 RAM. For best performance, use a machine with a quad-core processor running at
33 2.6GHz with 16GB of RAM.
34
35 The software has been tested on Ubuntu 16.04 LTS (64-bit). Packages used for
36 building the software were installed from that distribution unless otherwise
37 specified.
38
39 The software has also been built on Windows 7 Enterprise SP1, using CMD.EXE,
40 Cygwin, and Msys (MinGW) shells, using version 5.3.1 of the GNU toolchain.
41
42 Tools
43 -----
44
45 Install the required packages to build TF-A with the following command:
46
47 .. code:: shell
48
49 sudo apt-get install device-tree-compiler build-essential gcc make git libssl-dev
50
51 TF-A has been tested with Linaro Release 18.04.
52
53 Download and install the AArch32 (arm-eabi) or AArch64 little-endian
54 (aarch64-linux-gnu) GCC cross compiler. If you would like to use the latest
55 features available, download GCC 8.3-2019.03 compiler from
56 `arm Developer page`_. Otherwise, the `Linaro Release Notes`_ documents which
57 version of the compiler to use for a given Linaro Release. Also, these
58 `Linaro instructions`_ provide further guidance and a script, which can be used
59 to download Linaro deliverables automatically.
60
61 Optionally, TF-A can be built using clang version 4.0 or newer or Arm
62 Compiler 6. See instructions below on how to switch the default compiler.
63
64 In addition, the following optional packages and tools may be needed:
65
66 - ``device-tree-compiler`` (dtc) package if you need to rebuild the Flattened Device
67 Tree (FDT) source files (``.dts`` files) provided with this software. The
68 version of dtc must be 1.4.6 or above.
69
70 - For debugging, Arm `Development Studio 5 (DS-5)`_.
71
72 - To create and modify the diagram files included in the documentation, `Dia`_.
73 This tool can be found in most Linux distributions. Inkscape is needed to
74 generate the actual \*.png files.
75
76 Getting the TF-A source code
77 ----------------------------
78
79 Clone the repository from the Gerrit server. The project details may be found
80 on the `arm-trusted-firmware-a project page`_. We recommend the "`Clone with
81 commit-msg hook`" clone method, which will setup the git commit hook that
82 automatically generates and inserts appropriate `Change-Id:` lines in your
83 commit messages.
84
85 Checking source code style
86 ~~~~~~~~~~~~~~~~~~~~~~~~~~
87
88 Trusted Firmware follows the `Linux Coding Style`_ . When making changes to the
89 source, for submission to the project, the source must be in compliance with
90 this style guide.
91
92 Additional, project-specific guidelines are defined in the `Trusted Firmware-A
93 Coding Guidelines`_ document.
94
95 To assist with coding style compliance, the project Makefile contains two
96 targets which both utilise the `checkpatch.pl` script that ships with the Linux
97 source tree. The project also defines certain *checkpatch* options in the
98 ``.checkpatch.conf`` file in the top-level directory.
99
100 .. note::
101 Checkpatch errors will gate upstream merging of pull requests.
102 Checkpatch warnings will not gate merging but should be reviewed and fixed if
103 possible.
104
105 To check the entire source tree, you must first download copies of
106 ``checkpatch.pl``, ``spelling.txt`` and ``const_structs.checkpatch`` available
107 in the `Linux master tree`_ *scripts* directory, then set the ``CHECKPATCH``
108 environment variable to point to ``checkpatch.pl`` (with the other 2 files in
109 the same directory) and build the `checkcodebase` target:
110
111 .. code:: shell
112
113 make CHECKPATCH=<path-to-linux>/linux/scripts/checkpatch.pl checkcodebase
114
115 To just check the style on the files that differ between your local branch and
116 the remote master, use:
117
118 .. code:: shell
119
120 make CHECKPATCH=<path-to-linux>/linux/scripts/checkpatch.pl checkpatch
121
122 If you wish to check your patch against something other than the remote master,
123 set the ``BASE_COMMIT`` variable to your desired branch. By default, ``BASE_COMMIT``
124 is set to ``origin/master``.
125
126 Building TF-A
127 -------------
128
129 - Before building TF-A, the environment variable ``CROSS_COMPILE`` must point
130 to the Linaro cross compiler.
131
132 For AArch64:
133
134 .. code:: shell
135
136 export CROSS_COMPILE=<path-to-aarch64-gcc>/bin/aarch64-linux-gnu-
137
138 For AArch32:
139
140 .. code:: shell
141
142 export CROSS_COMPILE=<path-to-aarch32-gcc>/bin/arm-eabi-
143
144 It is possible to build TF-A using Clang or Arm Compiler 6. To do so
145 ``CC`` needs to point to the clang or armclang binary, which will
146 also select the clang or armclang assembler. Be aware that the
147 GNU linker is used by default. In case of being needed the linker
148 can be overridden using the ``LD`` variable. Clang linker version 6 is
149 known to work with TF-A.
150
151 In both cases ``CROSS_COMPILE`` should be set as described above.
152
153 Arm Compiler 6 will be selected when the base name of the path assigned
154 to ``CC`` matches the string 'armclang'.
155
156 For AArch64 using Arm Compiler 6:
157
158 .. code:: shell
159
160 export CROSS_COMPILE=<path-to-aarch64-gcc>/bin/aarch64-linux-gnu-
161 make CC=<path-to-armclang>/bin/armclang PLAT=<platform> all
162
163 Clang will be selected when the base name of the path assigned to ``CC``
164 contains the string 'clang'. This is to allow both clang and clang-X.Y
165 to work.
166
167 For AArch64 using clang:
168
169 .. code:: shell
170
171 export CROSS_COMPILE=<path-to-aarch64-gcc>/bin/aarch64-linux-gnu-
172 make CC=<path-to-clang>/bin/clang PLAT=<platform> all
173
174 - Change to the root directory of the TF-A source tree and build.
175
176 For AArch64:
177
178 .. code:: shell
179
180 make PLAT=<platform> all
181
182 For AArch32:
183
184 .. code:: shell
185
186 make PLAT=<platform> ARCH=aarch32 AARCH32_SP=sp_min all
187
188 Notes:
189
190 - If ``PLAT`` is not specified, ``fvp`` is assumed by default. See the
191 `Summary of build options`_ for more information on available build
192 options.
193
194 - (AArch32 only) Currently only ``PLAT=fvp`` is supported.
195
196 - (AArch32 only) ``AARCH32_SP`` is the AArch32 EL3 Runtime Software and it
197 corresponds to the BL32 image. A minimal ``AARCH32_SP``, sp_min, is
198 provided by TF-A to demonstrate how PSCI Library can be integrated with
199 an AArch32 EL3 Runtime Software. Some AArch32 EL3 Runtime Software may
200 include other runtime services, for example Trusted OS services. A guide
201 to integrate PSCI library with AArch32 EL3 Runtime Software can be found
202 `here`_.
203
204 - (AArch64 only) The TSP (Test Secure Payload), corresponding to the BL32
205 image, is not compiled in by default. Refer to the
206 `Building the Test Secure Payload`_ section below.
207
208 - By default this produces a release version of the build. To produce a
209 debug version instead, refer to the "Debugging options" section below.
210
211 - The build process creates products in a ``build`` directory tree, building
212 the objects and binaries for each boot loader stage in separate
213 sub-directories. The following boot loader binary files are created
214 from the corresponding ELF files:
215
216 - ``build/<platform>/<build-type>/bl1.bin``
217 - ``build/<platform>/<build-type>/bl2.bin``
218 - ``build/<platform>/<build-type>/bl31.bin`` (AArch64 only)
219 - ``build/<platform>/<build-type>/bl32.bin`` (mandatory for AArch32)
220
221 where ``<platform>`` is the name of the chosen platform and ``<build-type>``
222 is either ``debug`` or ``release``. The actual number of images might differ
223 depending on the platform.
224
225 - Build products for a specific build variant can be removed using:
226
227 .. code:: shell
228
229 make DEBUG=<D> PLAT=<platform> clean
230
231 ... where ``<D>`` is ``0`` or ``1``, as specified when building.
232
233 The build tree can be removed completely using:
234
235 .. code:: shell
236
237 make realclean
238
239 Summary of build options
240 ~~~~~~~~~~~~~~~~~~~~~~~~
241
242 The TF-A build system supports the following build options. Unless mentioned
243 otherwise, these options are expected to be specified at the build command
244 line and are not to be modified in any component makefiles. Note that the
245 build system doesn't track dependency for build options. Therefore, if any of
246 the build options are changed from a previous build, a clean build must be
247 performed.
248
249 Common build options
250 ^^^^^^^^^^^^^^^^^^^^
251
252 - ``AARCH32_INSTRUCTION_SET``: Choose the AArch32 instruction set that the
253 compiler should use. Valid values are T32 and A32. It defaults to T32 due to
254 code having a smaller resulting size.
255
256 - ``AARCH32_SP`` : Choose the AArch32 Secure Payload component to be built as
257 as the BL32 image when ``ARCH=aarch32``. The value should be the path to the
258 directory containing the SP source, relative to the ``bl32/``; the directory
259 is expected to contain a makefile called ``<aarch32_sp-value>.mk``.
260
261 - ``ARCH`` : Choose the target build architecture for TF-A. It can take either
262 ``aarch64`` or ``aarch32`` as values. By default, it is defined to
263 ``aarch64``.
264
265 - ``ARM_ARCH_MAJOR``: The major version of Arm Architecture to target when
266 compiling TF-A. Its value must be numeric, and defaults to 8 . See also,
267 *Armv8 Architecture Extensions* and *Armv7 Architecture Extensions* in
268 `Firmware Design`_.
269
270 - ``ARM_ARCH_MINOR``: The minor version of Arm Architecture to target when
271 compiling TF-A. Its value must be a numeric, and defaults to 0. See also,
272 *Armv8 Architecture Extensions* in `Firmware Design`_.
273
274 - ``BL2``: This is an optional build option which specifies the path to BL2
275 image for the ``fip`` target. In this case, the BL2 in the TF-A will not be
276 built.
277
278 - ``BL2U``: This is an optional build option which specifies the path to
279 BL2U image. In this case, the BL2U in TF-A will not be built.
280
281 - ``BL2_AT_EL3``: This is an optional build option that enables the use of
282 BL2 at EL3 execution level.
283
284 - ``BL2_IN_XIP_MEM``: In some use-cases BL2 will be stored in eXecute In Place
285 (XIP) memory, like BL1. In these use-cases, it is necessary to initialize
286 the RW sections in RAM, while leaving the RO sections in place. This option
287 enable this use-case. For now, this option is only supported when BL2_AT_EL3
288 is set to '1'.
289
290 - ``BL31``: This is an optional build option which specifies the path to
291 BL31 image for the ``fip`` target. In this case, the BL31 in TF-A will not
292 be built.
293
294 - ``BL31_KEY``: This option is used when ``GENERATE_COT=1``. It specifies the
295 file that contains the BL31 private key in PEM format. If ``SAVE_KEYS=1``,
296 this file name will be used to save the key.
297
298 - ``BL32``: This is an optional build option which specifies the path to
299 BL32 image for the ``fip`` target. In this case, the BL32 in TF-A will not
300 be built.
301
302 - ``BL32_EXTRA1``: This is an optional build option which specifies the path to
303 Trusted OS Extra1 image for the ``fip`` target.
304
305 - ``BL32_EXTRA2``: This is an optional build option which specifies the path to
306 Trusted OS Extra2 image for the ``fip`` target.
307
308 - ``BL32_KEY``: This option is used when ``GENERATE_COT=1``. It specifies the
309 file that contains the BL32 private key in PEM format. If ``SAVE_KEYS=1``,
310 this file name will be used to save the key.
311
312 - ``BL33``: Path to BL33 image in the host file system. This is mandatory for
313 ``fip`` target in case TF-A BL2 is used.
314
315 - ``BL33_KEY``: This option is used when ``GENERATE_COT=1``. It specifies the
316 file that contains the BL33 private key in PEM format. If ``SAVE_KEYS=1``,
317 this file name will be used to save the key.
318
319 - ``BRANCH_PROTECTION``: Numeric value to enable ARMv8.3 Pointer Authentication
320 and ARMv8.5 Branch Target Identification support for TF-A BL images themselves.
321 If enabled, it is needed to use a compiler that supports the option
322 ``-mbranch-protection``. Selects the branch protection features to use:
323 - 0: Default value turns off all types of branch protection
324 - 1: Enables all types of branch protection features
325 - 2: Return address signing to its standard level
326 - 3: Extend the signing to include leaf functions
327
328 The table below summarizes ``BRANCH_PROTECTION`` values, GCC compilation options
329 and resulting PAuth/BTI features.
330
331 +-------+--------------+-------+-----+
332 | Value | GCC option | PAuth | BTI |
333 +=======+==============+=======+=====+
334 | 0 | none | N | N |
335 +-------+--------------+-------+-----+
336 | 1 | standard | Y | Y |
337 +-------+--------------+-------+-----+
338 | 2 | pac-ret | Y | N |
339 +-------+--------------+-------+-----+
340 | 3 | pac-ret+leaf | Y | N |
341 +-------+--------------+-------+-----+
342
343 This option defaults to 0 and this is an experimental feature.
344 Note that Pointer Authentication is enabled for Non-secure world
345 irrespective of the value of this option if the CPU supports it.
346
347 - ``BUILD_MESSAGE_TIMESTAMP``: String used to identify the time and date of the
348 compilation of each build. It must be set to a C string (including quotes
349 where applicable). Defaults to a string that contains the time and date of
350 the compilation.
351
352 - ``BUILD_STRING``: Input string for VERSION_STRING, which allows the TF-A
353 build to be uniquely identified. Defaults to the current git commit id.
354
355 - ``CFLAGS``: Extra user options appended on the compiler's command line in
356 addition to the options set by the build system.
357
358 - ``COLD_BOOT_SINGLE_CPU``: This option indicates whether the platform may
359 release several CPUs out of reset. It can take either 0 (several CPUs may be
360 brought up) or 1 (only one CPU will ever be brought up during cold reset).
361 Default is 0. If the platform always brings up a single CPU, there is no
362 need to distinguish between primary and secondary CPUs and the boot path can
363 be optimised. The ``plat_is_my_cpu_primary()`` and
364 ``plat_secondary_cold_boot_setup()`` platform porting interfaces do not need
365 to be implemented in this case.
366
367 - ``CRASH_REPORTING``: A non-zero value enables a console dump of processor
368 register state when an unexpected exception occurs during execution of
369 BL31. This option defaults to the value of ``DEBUG`` - i.e. by default
370 this is only enabled for a debug build of the firmware.
371
372 - ``CREATE_KEYS``: This option is used when ``GENERATE_COT=1``. It tells the
373 certificate generation tool to create new keys in case no valid keys are
374 present or specified. Allowed options are '0' or '1'. Default is '1'.
375
376 - ``CTX_INCLUDE_AARCH32_REGS`` : Boolean option that, when set to 1, will cause
377 the AArch32 system registers to be included when saving and restoring the
378 CPU context. The option must be set to 0 for AArch64-only platforms (that
379 is on hardware that does not implement AArch32, or at least not at EL1 and
380 higher ELs). Default value is 1.
381
382 - ``CTX_INCLUDE_FPREGS``: Boolean option that, when set to 1, will cause the FP
383 registers to be included when saving and restoring the CPU context. Default
384 is 0.
385
386 - ``CTX_INCLUDE_PAUTH_REGS``: Boolean option that, when set to 1, enables
387 Pointer Authentication for Secure world. This will cause the ARMv8.3-PAuth
388 registers to be included when saving and restoring the CPU context as
389 part of world switch. Default value is 0 and this is an experimental feature.
390 Note that Pointer Authentication is enabled for Non-secure world irrespective
391 of the value of this flag if the CPU supports it.
392
393 - ``DEBUG``: Chooses between a debug and release build. It can take either 0
394 (release) or 1 (debug) as values. 0 is the default.
395
396 - ``DISABLE_BIN_GENERATION``: Boolean option to disable the generation
397 of the binary image. If set to 1, then only the ELF image is built.
398 0 is the default.
399
400 - ``DYN_DISABLE_AUTH``: Provides the capability to dynamically disable Trusted
401 Board Boot authentication at runtime. This option is meant to be enabled only
402 for development platforms. ``TRUSTED_BOARD_BOOT`` flag must be set if this
403 flag has to be enabled. 0 is the default.
404
405 - ``E``: Boolean option to make warnings into errors. Default is 1.
406
407 - ``EL3_PAYLOAD_BASE``: This option enables booting an EL3 payload instead of
408 the normal boot flow. It must specify the entry point address of the EL3
409 payload. Please refer to the "Booting an EL3 payload" section for more
410 details.
411
412 - ``ENABLE_AMU``: Boolean option to enable Activity Monitor Unit extensions.
413 This is an optional architectural feature available on v8.4 onwards. Some
414 v8.2 implementations also implement an AMU and this option can be used to
415 enable this feature on those systems as well. Default is 0.
416
417 - ``ENABLE_ASSERTIONS``: This option controls whether or not calls to ``assert()``
418 are compiled out. For debug builds, this option defaults to 1, and calls to
419 ``assert()`` are left in place. For release builds, this option defaults to 0
420 and calls to ``assert()`` function are compiled out. This option can be set
421 independently of ``DEBUG``. It can also be used to hide any auxiliary code
422 that is only required for the assertion and does not fit in the assertion
423 itself.
424
425 - ``ENABLE_BACKTRACE``: This option controls whether to enables backtrace
426 dumps or not. It is supported in both AArch64 and AArch32. However, in
427 AArch32 the format of the frame records are not defined in the AAPCS and they
428 are defined by the implementation. This implementation of backtrace only
429 supports the format used by GCC when T32 interworking is disabled. For this
430 reason enabling this option in AArch32 will force the compiler to only
431 generate A32 code. This option is enabled by default only in AArch64 debug
432 builds, but this behaviour can be overridden in each platform's Makefile or
433 in the build command line.
434
435 - ``ENABLE_MPAM_FOR_LOWER_ELS``: Boolean option to enable lower ELs to use MPAM
436 feature. MPAM is an optional Armv8.4 extension that enables various memory
437 system components and resources to define partitions; software running at
438 various ELs can assign themselves to desired partition to control their
439 performance aspects.
440
441 When this option is set to ``1``, EL3 allows lower ELs to access their own
442 MPAM registers without trapping into EL3. This option doesn't make use of
443 partitioning in EL3, however. Platform initialisation code should configure
444 and use partitions in EL3 as required. This option defaults to ``0``.
445
446 - ``ENABLE_PIE``: Boolean option to enable Position Independent Executable(PIE)
447 support within generic code in TF-A. This option is currently only supported
448 in BL31. Default is 0.
449
450 - ``ENABLE_PMF``: Boolean option to enable support for optional Performance
451 Measurement Framework(PMF). Default is 0.
452
453 - ``ENABLE_PSCI_STAT``: Boolean option to enable support for optional PSCI
454 functions ``PSCI_STAT_RESIDENCY`` and ``PSCI_STAT_COUNT``. Default is 0.
455 In the absence of an alternate stat collection backend, ``ENABLE_PMF`` must
456 be enabled. If ``ENABLE_PMF`` is set, the residency statistics are tracked in
457 software.
458
459 - ``ENABLE_RUNTIME_INSTRUMENTATION``: Boolean option to enable runtime
460 instrumentation which injects timestamp collection points into TF-A to
461 allow runtime performance to be measured. Currently, only PSCI is
462 instrumented. Enabling this option enables the ``ENABLE_PMF`` build option
463 as well. Default is 0.
464
465 - ``ENABLE_SPE_FOR_LOWER_ELS`` : Boolean option to enable Statistical Profiling
466 extensions. This is an optional architectural feature for AArch64.
467 The default is 1 but is automatically disabled when the target architecture
468 is AArch32.
469
470 - ``ENABLE_SPM`` : Boolean option to enable the Secure Partition Manager (SPM).
471 Refer to the `Secure Partition Manager Design guide`_ for more details about
472 this feature. Default is 0.
473
474 - ``ENABLE_SVE_FOR_NS``: Boolean option to enable Scalable Vector Extension
475 (SVE) for the Non-secure world only. SVE is an optional architectural feature
476 for AArch64. Note that when SVE is enabled for the Non-secure world, access
477 to SIMD and floating-point functionality from the Secure world is disabled.
478 This is to avoid corruption of the Non-secure world data in the Z-registers
479 which are aliased by the SIMD and FP registers. The build option is not
480 compatible with the ``CTX_INCLUDE_FPREGS`` build option, and will raise an
481 assert on platforms where SVE is implemented and ``ENABLE_SVE_FOR_NS`` set to
482 1. The default is 1 but is automatically disabled when the target
483 architecture is AArch32.
484
485 - ``ENABLE_STACK_PROTECTOR``: String option to enable the stack protection
486 checks in GCC. Allowed values are "all", "strong", "default" and "none". The
487 default value is set to "none". "strong" is the recommended stack protection
488 level if this feature is desired. "none" disables the stack protection. For
489 all values other than "none", the ``plat_get_stack_protector_canary()``
490 platform hook needs to be implemented. The value is passed as the last
491 component of the option ``-fstack-protector-$ENABLE_STACK_PROTECTOR``.
492
493 - ``ERROR_DEPRECATED``: This option decides whether to treat the usage of
494 deprecated platform APIs, helper functions or drivers within Trusted
495 Firmware as error. It can take the value 1 (flag the use of deprecated
496 APIs as error) or 0. The default is 0.
497
498 - ``EL3_EXCEPTION_HANDLING``: When set to ``1``, enable handling of exceptions
499 targeted at EL3. When set ``0`` (default), no exceptions are expected or
500 handled at EL3, and a panic will result. This is supported only for AArch64
501 builds.
502
503 - ``FAULT_INJECTION_SUPPORT``: ARMv8.4 extensions introduced support for fault
504 injection from lower ELs, and this build option enables lower ELs to use
505 Error Records accessed via System Registers to inject faults. This is
506 applicable only to AArch64 builds.
507
508 This feature is intended for testing purposes only, and is advisable to keep
509 disabled for production images.
510
511 - ``FIP_NAME``: This is an optional build option which specifies the FIP
512 filename for the ``fip`` target. Default is ``fip.bin``.
513
514 - ``FWU_FIP_NAME``: This is an optional build option which specifies the FWU
515 FIP filename for the ``fwu_fip`` target. Default is ``fwu_fip.bin``.
516
517 - ``GENERATE_COT``: Boolean flag used to build and execute the ``cert_create``
518 tool to create certificates as per the Chain of Trust described in
519 `Trusted Board Boot`_. The build system then calls ``fiptool`` to
520 include the certificates in the FIP and FWU_FIP. Default value is '0'.
521
522 Specify both ``TRUSTED_BOARD_BOOT=1`` and ``GENERATE_COT=1`` to include support
523 for the Trusted Board Boot feature in the BL1 and BL2 images, to generate
524 the corresponding certificates, and to include those certificates in the
525 FIP and FWU_FIP.
526
527 Note that if ``TRUSTED_BOARD_BOOT=0`` and ``GENERATE_COT=1``, the BL1 and BL2
528 images will not include support for Trusted Board Boot. The FIP will still
529 include the corresponding certificates. This FIP can be used to verify the
530 Chain of Trust on the host machine through other mechanisms.
531
532 Note that if ``TRUSTED_BOARD_BOOT=1`` and ``GENERATE_COT=0``, the BL1 and BL2
533 images will include support for Trusted Board Boot, but the FIP and FWU_FIP
534 will not include the corresponding certificates, causing a boot failure.
535
536 - ``GICV2_G0_FOR_EL3``: Unlike GICv3, the GICv2 architecture doesn't have
537 inherent support for specific EL3 type interrupts. Setting this build option
538 to ``1`` assumes GICv2 *Group 0* interrupts are expected to target EL3, both
539 by `platform abstraction layer`__ and `Interrupt Management Framework`__.
540 This allows GICv2 platforms to enable features requiring EL3 interrupt type.
541 This also means that all GICv2 Group 0 interrupts are delivered to EL3, and
542 the Secure Payload interrupts needs to be synchronously handed over to Secure
543 EL1 for handling. The default value of this option is ``0``, which means the
544 Group 0 interrupts are assumed to be handled by Secure EL1.
545
546 .. __: `platform-interrupt-controller-API.rst`
547 .. __: `interrupt-framework-design.rst`
548
549 - ``HANDLE_EA_EL3_FIRST``: When set to ``1``, External Aborts and SError
550 Interrupts will be always trapped in EL3 i.e. in BL31 at runtime. When set to
551 ``0`` (default), these exceptions will be trapped in the current exception
552 level (or in EL1 if the current exception level is EL0).
553
554 - ``HW_ASSISTED_COHERENCY``: On most Arm systems to-date, platform-specific
555 software operations are required for CPUs to enter and exit coherency.
556 However, newer systems exist where CPUs' entry to and exit from coherency
557 is managed in hardware. Such systems require software to only initiate these
558 operations, and the rest is managed in hardware, minimizing active software
559 management. In such systems, this boolean option enables TF-A to carry out
560 build and run-time optimizations during boot and power management operations.
561 This option defaults to 0 and if it is enabled, then it implies
562 ``WARMBOOT_ENABLE_DCACHE_EARLY`` is also enabled.
563
564 If this flag is disabled while the platform which TF-A is compiled for
565 includes cores that manage coherency in hardware, then a compilation error is
566 generated. This is based on the fact that a system cannot have, at the same
567 time, cores that manage coherency in hardware and cores that don't. In other
568 words, a platform cannot have, at the same time, cores that require
569 ``HW_ASSISTED_COHERENCY=1`` and cores that require
570 ``HW_ASSISTED_COHERENCY=0``.
571
572 Note that, when ``HW_ASSISTED_COHERENCY`` is enabled, version 2 of
573 translation library (xlat tables v2) must be used; version 1 of translation
574 library is not supported.
575
576 - ``JUNO_AARCH32_EL3_RUNTIME``: This build flag enables you to execute EL3
577 runtime software in AArch32 mode, which is required to run AArch32 on Juno.
578 By default this flag is set to '0'. Enabling this flag builds BL1 and BL2 in
579 AArch64 and facilitates the loading of ``SP_MIN`` and BL33 as AArch32 executable
580 images.
581
582 - ``KEY_ALG``: This build flag enables the user to select the algorithm to be
583 used for generating the PKCS keys and subsequent signing of the certificate.
584 It accepts 3 values: ``rsa``, ``rsa_1_5`` and ``ecdsa``. The option
585 ``rsa_1_5`` is the legacy PKCS#1 RSA 1.5 algorithm which is not TBBR
586 compliant and is retained only for compatibility. The default value of this
587 flag is ``rsa`` which is the TBBR compliant PKCS#1 RSA 2.1 scheme.
588
589 - ``HASH_ALG``: This build flag enables the user to select the secure hash
590 algorithm. It accepts 3 values: ``sha256``, ``sha384`` and ``sha512``.
591 The default value of this flag is ``sha256``.
592
593 - ``LDFLAGS``: Extra user options appended to the linkers' command line in
594 addition to the one set by the build system.
595
596 - ``LOG_LEVEL``: Chooses the log level, which controls the amount of console log
597 output compiled into the build. This should be one of the following:
598
599 ::
600
601 0 (LOG_LEVEL_NONE)
602 10 (LOG_LEVEL_ERROR)
603 20 (LOG_LEVEL_NOTICE)
604 30 (LOG_LEVEL_WARNING)
605 40 (LOG_LEVEL_INFO)
606 50 (LOG_LEVEL_VERBOSE)
607
608 All log output up to and including the selected log level is compiled into
609 the build. The default value is 40 in debug builds and 20 in release builds.
610
611 - ``NON_TRUSTED_WORLD_KEY``: This option is used when ``GENERATE_COT=1``. It
612 specifies the file that contains the Non-Trusted World private key in PEM
613 format. If ``SAVE_KEYS=1``, this file name will be used to save the key.
614
615 - ``NS_BL2U``: Path to NS_BL2U image in the host file system. This image is
616 optional. It is only needed if the platform makefile specifies that it
617 is required in order to build the ``fwu_fip`` target.
618
619 - ``NS_TIMER_SWITCH``: Enable save and restore for non-secure timer register
620 contents upon world switch. It can take either 0 (don't save and restore) or
621 1 (do save and restore). 0 is the default. An SPD may set this to 1 if it
622 wants the timer registers to be saved and restored.
623
624 - ``OVERRIDE_LIBC``: This option allows platforms to override the default libc
625 for the BL image. It can be either 0 (include) or 1 (remove). The default
626 value is 0.
627
628 - ``PL011_GENERIC_UART``: Boolean option to indicate the PL011 driver that
629 the underlying hardware is not a full PL011 UART but a minimally compliant
630 generic UART, which is a subset of the PL011. The driver will not access
631 any register that is not part of the SBSA generic UART specification.
632 Default value is 0 (a full PL011 compliant UART is present).
633
634 - ``PLAT``: Choose a platform to build TF-A for. The chosen platform name
635 must be subdirectory of any depth under ``plat/``, and must contain a
636 platform makefile named ``platform.mk``. For example, to build TF-A for the
637 Arm Juno board, select PLAT=juno.
638
639 - ``PRELOADED_BL33_BASE``: This option enables booting a preloaded BL33 image
640 instead of the normal boot flow. When defined, it must specify the entry
641 point address for the preloaded BL33 image. This option is incompatible with
642 ``EL3_PAYLOAD_BASE``. If both are defined, ``EL3_PAYLOAD_BASE`` has priority
643 over ``PRELOADED_BL33_BASE``.
644
645 - ``PROGRAMMABLE_RESET_ADDRESS``: This option indicates whether the reset
646 vector address can be programmed or is fixed on the platform. It can take
647 either 0 (fixed) or 1 (programmable). Default is 0. If the platform has a
648 programmable reset address, it is expected that a CPU will start executing
649 code directly at the right address, both on a cold and warm reset. In this
650 case, there is no need to identify the entrypoint on boot and the boot path
651 can be optimised. The ``plat_get_my_entrypoint()`` platform porting interface
652 does not need to be implemented in this case.
653
654 - ``PSCI_EXTENDED_STATE_ID``: As per PSCI1.0 Specification, there are 2 formats
655 possible for the PSCI power-state parameter: original and extended State-ID
656 formats. This flag if set to 1, configures the generic PSCI layer to use the
657 extended format. The default value of this flag is 0, which means by default
658 the original power-state format is used by the PSCI implementation. This flag
659 should be specified by the platform makefile and it governs the return value
660 of PSCI_FEATURES API for CPU_SUSPEND smc function id. When this option is
661 enabled on Arm platforms, the option ``ARM_RECOM_STATE_ID_ENC`` needs to be
662 set to 1 as well.
663
664 - ``RAS_EXTENSION``: When set to ``1``, enable Armv8.2 RAS features. RAS features
665 are an optional extension for pre-Armv8.2 CPUs, but are mandatory for Armv8.2
666 or later CPUs.
667
668 When ``RAS_EXTENSION`` is set to ``1``, ``HANDLE_EA_EL3_FIRST`` must also be
669 set to ``1``.
670
671 This option is disabled by default.
672
673 - ``RESET_TO_BL31``: Enable BL31 entrypoint as the CPU reset vector instead
674 of the BL1 entrypoint. It can take the value 0 (CPU reset to BL1
675 entrypoint) or 1 (CPU reset to BL31 entrypoint).
676 The default value is 0.
677
678 - ``RESET_TO_SP_MIN``: SP_MIN is the minimal AArch32 Secure Payload provided
679 in TF-A. This flag configures SP_MIN entrypoint as the CPU reset vector
680 instead of the BL1 entrypoint. It can take the value 0 (CPU reset to BL1
681 entrypoint) or 1 (CPU reset to SP_MIN entrypoint). The default value is 0.
682
683 - ``ROT_KEY``: This option is used when ``GENERATE_COT=1``. It specifies the
684 file that contains the ROT private key in PEM format. If ``SAVE_KEYS=1``, this
685 file name will be used to save the key.
686
687 - ``SANITIZE_UB``: This option enables the Undefined Behaviour sanitizer. It
688 can take 3 values: 'off' (default), 'on' and 'trap'. When using 'trap',
689 gcc and clang will insert calls to ``__builtin_trap`` on detected
690 undefined behaviour, which defaults to a ``brk`` instruction. When using
691 'on', undefined behaviour is translated to a call to special handlers which
692 prints the exact location of the problem and its cause and then panics.
693
694 .. note::
695 Because of the space penalty of the Undefined Behaviour sanitizer,
696 this option will increase the size of the binary. Depending on the
697 memory constraints of the target platform, it may not be possible to
698 enable the sanitizer for all images (BL1 and BL2 are especially
699 likely to be memory constrained). We recommend that the
700 sanitizer is enabled only in debug builds.
701
702 - ``SAVE_KEYS``: This option is used when ``GENERATE_COT=1``. It tells the
703 certificate generation tool to save the keys used to establish the Chain of
704 Trust. Allowed options are '0' or '1'. Default is '0' (do not save).
705
706 - ``SCP_BL2``: Path to SCP_BL2 image in the host file system. This image is optional.
707 If a SCP_BL2 image is present then this option must be passed for the ``fip``
708 target.
709
710 - ``SCP_BL2_KEY``: This option is used when ``GENERATE_COT=1``. It specifies the
711 file that contains the SCP_BL2 private key in PEM format. If ``SAVE_KEYS=1``,
712 this file name will be used to save the key.
713
714 - ``SCP_BL2U``: Path to SCP_BL2U image in the host file system. This image is
715 optional. It is only needed if the platform makefile specifies that it
716 is required in order to build the ``fwu_fip`` target.
717
718 - ``SDEI_SUPPORT``: Setting this to ``1`` enables support for Software
719 Delegated Exception Interface to BL31 image. This defaults to ``0``.
720
721 When set to ``1``, the build option ``EL3_EXCEPTION_HANDLING`` must also be
722 set to ``1``.
723
724 - ``SEPARATE_CODE_AND_RODATA``: Whether code and read-only data should be
725 isolated on separate memory pages. This is a trade-off between security and
726 memory usage. See "Isolating code and read-only data on separate memory
727 pages" section in `Firmware Design`_. This flag is disabled by default and
728 affects all BL images.
729
730 - ``SPD``: Choose a Secure Payload Dispatcher component to be built into TF-A.
731 This build option is only valid if ``ARCH=aarch64``. The value should be
732 the path to the directory containing the SPD source, relative to
733 ``services/spd/``; the directory is expected to contain a makefile called
734 ``<spd-value>.mk``.
735
736 - ``SPIN_ON_BL1_EXIT``: This option introduces an infinite loop in BL1. It can
737 take either 0 (no loop) or 1 (add a loop). 0 is the default. This loop stops
738 execution in BL1 just before handing over to BL31. At this point, all
739 firmware images have been loaded in memory, and the MMU and caches are
740 turned off. Refer to the "Debugging options" section for more details.
741
742 - ``SP_MIN_WITH_SECURE_FIQ``: Boolean flag to indicate the SP_MIN handles
743 secure interrupts (caught through the FIQ line). Platforms can enable
744 this directive if they need to handle such interruption. When enabled,
745 the FIQ are handled in monitor mode and non secure world is not allowed
746 to mask these events. Platforms that enable FIQ handling in SP_MIN shall
747 implement the api ``sp_min_plat_fiq_handler()``. The default value is 0.
748
749 - ``TRUSTED_BOARD_BOOT``: Boolean flag to include support for the Trusted Board
750 Boot feature. When set to '1', BL1 and BL2 images include support to load
751 and verify the certificates and images in a FIP, and BL1 includes support
752 for the Firmware Update. The default value is '0'. Generation and inclusion
753 of certificates in the FIP and FWU_FIP depends upon the value of the
754 ``GENERATE_COT`` option.
755
756 .. warning::
757 This option depends on ``CREATE_KEYS`` to be enabled. If the keys
758 already exist in disk, they will be overwritten without further notice.
759
760 - ``TRUSTED_WORLD_KEY``: This option is used when ``GENERATE_COT=1``. It
761 specifies the file that contains the Trusted World private key in PEM
762 format. If ``SAVE_KEYS=1``, this file name will be used to save the key.
763
764 - ``TSP_INIT_ASYNC``: Choose BL32 initialization method as asynchronous or
765 synchronous, (see "Initializing a BL32 Image" section in
766 `Firmware Design`_). It can take the value 0 (BL32 is initialized using
767 synchronous method) or 1 (BL32 is initialized using asynchronous method).
768 Default is 0.
769
770 - ``TSP_NS_INTR_ASYNC_PREEMPT``: A non zero value enables the interrupt
771 routing model which routes non-secure interrupts asynchronously from TSP
772 to EL3 causing immediate preemption of TSP. The EL3 is responsible
773 for saving and restoring the TSP context in this routing model. The
774 default routing model (when the value is 0) is to route non-secure
775 interrupts to TSP allowing it to save its context and hand over
776 synchronously to EL3 via an SMC.
777
778 .. note::
779 When ``EL3_EXCEPTION_HANDLING`` is ``1``, ``TSP_NS_INTR_ASYNC_PREEMPT``
780 must also be set to ``1``.
781
782 - ``USE_ARM_LINK``: This flag determines whether to enable support for ARM
783 linker. When the ``LINKER`` build variable points to the armlink linker,
784 this flag is enabled automatically. To enable support for armlink, platforms
785 will have to provide a scatter file for the BL image. Currently, Tegra
786 platforms use the armlink support to compile BL3-1 images.
787
788 - ``USE_COHERENT_MEM``: This flag determines whether to include the coherent
789 memory region in the BL memory map or not (see "Use of Coherent memory in
790 TF-A" section in `Firmware Design`_). It can take the value 1
791 (Coherent memory region is included) or 0 (Coherent memory region is
792 excluded). Default is 1.
793
794 - ``USE_ROMLIB``: This flag determines whether library at ROM will be used.
795 This feature creates a library of functions to be placed in ROM and thus
796 reduces SRAM usage. Refer to `Library at ROM`_ for further details. Default
797 is 0.
798
799 - ``V``: Verbose build. If assigned anything other than 0, the build commands
800 are printed. Default is 0.
801
802 - ``VERSION_STRING``: String used in the log output for each TF-A image.
803 Defaults to a string formed by concatenating the version number, build type
804 and build string.
805
806 - ``W``: Warning level. Some compiler warning options of interest have been
807 regrouped and put in the root Makefile. This flag can take the values 0 to 3,
808 each level enabling more warning options. Default is 0.
809
810 - ``WARMBOOT_ENABLE_DCACHE_EARLY`` : Boolean option to enable D-cache early on
811 the CPU after warm boot. This is applicable for platforms which do not
812 require interconnect programming to enable cache coherency (eg: single
813 cluster platforms). If this option is enabled, then warm boot path
814 enables D-caches immediately after enabling MMU. This option defaults to 0.
815
816 Arm development platform specific build options
817 ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
818
819 - ``ARM_BL31_IN_DRAM``: Boolean option to select loading of BL31 in TZC secured
820 DRAM. By default, BL31 is in the secure SRAM. Set this flag to 1 to load
821 BL31 in TZC secured DRAM. If TSP is present, then setting this option also
822 sets the TSP location to DRAM and ignores the ``ARM_TSP_RAM_LOCATION`` build
823 flag.
824
825 - ``ARM_CONFIG_CNTACR``: boolean option to unlock access to the ``CNTBase<N>``
826 frame registers by setting the ``CNTCTLBase.CNTACR<N>`` register bits. The
827 frame number ``<N>`` is defined by ``PLAT_ARM_NSTIMER_FRAME_ID``, which should
828 match the frame used by the Non-Secure image (normally the Linux kernel).
829 Default is true (access to the frame is allowed).
830
831 - ``ARM_DISABLE_TRUSTED_WDOG``: boolean option to disable the Trusted Watchdog.
832 By default, Arm platforms use a watchdog to trigger a system reset in case
833 an error is encountered during the boot process (for example, when an image
834 could not be loaded or authenticated). The watchdog is enabled in the early
835 platform setup hook at BL1 and disabled in the BL1 prepare exit hook. The
836 Trusted Watchdog may be disabled at build time for testing or development
837 purposes.
838
839 - ``ARM_LINUX_KERNEL_AS_BL33``: The Linux kernel expects registers x0-x3 to
840 have specific values at boot. This boolean option allows the Trusted Firmware
841 to have a Linux kernel image as BL33 by preparing the registers to these
842 values before jumping to BL33. This option defaults to 0 (disabled). For
843 AArch64 ``RESET_TO_BL31`` and for AArch32 ``RESET_TO_SP_MIN`` must be 1 when
844 using it. If this option is set to 1, ``ARM_PRELOADED_DTB_BASE`` must be set
845 to the location of a device tree blob (DTB) already loaded in memory. The
846 Linux Image address must be specified using the ``PRELOADED_BL33_BASE``
847 option.
848
849 - ``ARM_PLAT_MT``: This flag determines whether the Arm platform layer has to
850 cater for the multi-threading ``MT`` bit when accessing MPIDR. When this flag
851 is set, the functions which deal with MPIDR assume that the ``MT`` bit in
852 MPIDR is set and access the bit-fields in MPIDR accordingly. Default value of
853 this flag is 0. Note that this option is not used on FVP platforms.
854
855 - ``ARM_RECOM_STATE_ID_ENC``: The PSCI1.0 specification recommends an encoding
856 for the construction of composite state-ID in the power-state parameter.
857 The existing PSCI clients currently do not support this encoding of
858 State-ID yet. Hence this flag is used to configure whether to use the
859 recommended State-ID encoding or not. The default value of this flag is 0,
860 in which case the platform is configured to expect NULL in the State-ID
861 field of power-state parameter.
862
863 - ``ARM_ROTPK_LOCATION``: used when ``TRUSTED_BOARD_BOOT=1``. It specifies the
864 location of the ROTPK hash returned by the function ``plat_get_rotpk_info()``
865 for Arm platforms. Depending on the selected option, the proper private key
866 must be specified using the ``ROT_KEY`` option when building the Trusted
867 Firmware. This private key will be used by the certificate generation tool
868 to sign the BL2 and Trusted Key certificates. Available options for
869 ``ARM_ROTPK_LOCATION`` are:
870
871 - ``regs`` : return the ROTPK hash stored in the Trusted root-key storage
872 registers. The private key corresponding to this ROTPK hash is not
873 currently available.
874 - ``devel_rsa`` : return a development public key hash embedded in the BL1
875 and BL2 binaries. This hash has been obtained from the RSA public key
876 ``arm_rotpk_rsa.der``, located in ``plat/arm/board/common/rotpk``. To use
877 this option, ``arm_rotprivk_rsa.pem`` must be specified as ``ROT_KEY`` when
878 creating the certificates.
879 - ``devel_ecdsa`` : return a development public key hash embedded in the BL1
880 and BL2 binaries. This hash has been obtained from the ECDSA public key
881 ``arm_rotpk_ecdsa.der``, located in ``plat/arm/board/common/rotpk``. To use
882 this option, ``arm_rotprivk_ecdsa.pem`` must be specified as ``ROT_KEY``
883 when creating the certificates.
884
885 - ``ARM_TSP_RAM_LOCATION``: location of the TSP binary. Options:
886
887 - ``tsram`` : Trusted SRAM (default option when TBB is not enabled)
888 - ``tdram`` : Trusted DRAM (if available)
889 - ``dram`` : Secure region in DRAM (default option when TBB is enabled,
890 configured by the TrustZone controller)
891
892 - ``ARM_XLAT_TABLES_LIB_V1``: boolean option to compile TF-A with version 1
893 of the translation tables library instead of version 2. It is set to 0 by
894 default, which selects version 2.
895
896 - ``ARM_CRYPTOCELL_INTEG`` : bool option to enable TF-A to invoke Arm®
897 TrustZone® CryptoCell functionality for Trusted Board Boot on capable Arm
898 platforms. If this option is specified, then the path to the CryptoCell
899 SBROM library must be specified via ``CCSBROM_LIB_PATH`` flag.
900
901 For a better understanding of these options, the Arm development platform memory
902 map is explained in the `Firmware Design`_.
903
904 Arm CSS platform specific build options
905 ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
906
907 - ``CSS_DETECT_PRE_1_7_0_SCP``: Boolean flag to detect SCP version
908 incompatibility. Version 1.7.0 of the SCP firmware made a non-backwards
909 compatible change to the MTL protocol, used for AP/SCP communication.
910 TF-A no longer supports earlier SCP versions. If this option is set to 1
911 then TF-A will detect if an earlier version is in use. Default is 1.
912
913 - ``CSS_LOAD_SCP_IMAGES``: Boolean flag, which when set, adds SCP_BL2 and
914 SCP_BL2U to the FIP and FWU_FIP respectively, and enables them to be loaded
915 during boot. Default is 1.
916
917 - ``CSS_USE_SCMI_SDS_DRIVER``: Boolean flag which selects SCMI/SDS drivers
918 instead of SCPI/BOM driver for communicating with the SCP during power
919 management operations and for SCP RAM Firmware transfer. If this option
920 is set to 1, then SCMI/SDS drivers will be used. Default is 0.
921
922 Arm FVP platform specific build options
923 ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
924
925 - ``FVP_CLUSTER_COUNT`` : Configures the cluster count to be used to
926 build the topology tree within TF-A. By default TF-A is configured for dual
927 cluster topology and this option can be used to override the default value.
928
929 - ``FVP_INTERCONNECT_DRIVER``: Selects the interconnect driver to be built. The
930 default interconnect driver depends on the value of ``FVP_CLUSTER_COUNT`` as
931 explained in the options below:
932
933 - ``FVP_CCI`` : The CCI driver is selected. This is the default
934 if 0 < ``FVP_CLUSTER_COUNT`` <= 2.
935 - ``FVP_CCN`` : The CCN driver is selected. This is the default
936 if ``FVP_CLUSTER_COUNT`` > 2.
937
938 - ``FVP_MAX_CPUS_PER_CLUSTER``: Sets the maximum number of CPUs implemented in
939 a single cluster. This option defaults to 4.
940
941 - ``FVP_MAX_PE_PER_CPU``: Sets the maximum number of PEs implemented on any CPU
942 in the system. This option defaults to 1. Note that the build option
943 ``ARM_PLAT_MT`` doesn't have any effect on FVP platforms.
944
945 - ``FVP_USE_GIC_DRIVER`` : Selects the GIC driver to be built. Options:
946
947 - ``FVP_GIC600`` : The GIC600 implementation of GICv3 is selected
948 - ``FVP_GICV2`` : The GICv2 only driver is selected
949 - ``FVP_GICV3`` : The GICv3 only driver is selected (default option)
950
951 - ``FVP_USE_SP804_TIMER`` : Use the SP804 timer instead of the Generic Timer
952 for functions that wait for an arbitrary time length (udelay and mdelay).
953 The default value is 0.
954
955 - ``FVP_HW_CONFIG_DTS`` : Specify the path to the DTS file to be compiled
956 to DTB and packaged in FIP as the HW_CONFIG. See `Firmware Design`_ for
957 details on HW_CONFIG. By default, this is initialized to a sensible DTS
958 file in ``fdts/`` folder depending on other build options. But some cases,
959 like shifted affinity format for MPIDR, cannot be detected at build time
960 and this option is needed to specify the appropriate DTS file.
961
962 - ``FVP_HW_CONFIG`` : Specify the path to the HW_CONFIG blob to be packaged in
963 FIP. See `Firmware Design`_ for details on HW_CONFIG. This option is
964 similar to the ``FVP_HW_CONFIG_DTS`` option, but it directly specifies the
965 HW_CONFIG blob instead of the DTS file. This option is useful to override
966 the default HW_CONFIG selected by the build system.
967
968 ARM JUNO platform specific build options
969 ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
970
971 - ``JUNO_TZMP1`` : Boolean option to configure Juno to be used for TrustZone
972 Media Protection (TZ-MP1). Default value of this flag is 0.
973
974 Debugging options
975 ~~~~~~~~~~~~~~~~~
976
977 To compile a debug version and make the build more verbose use
978
979 .. code:: shell
980
981 make PLAT=<platform> DEBUG=1 V=1 all
982
983 AArch64 GCC uses DWARF version 4 debugging symbols by default. Some tools (for
984 example DS-5) might not support this and may need an older version of DWARF
985 symbols to be emitted by GCC. This can be achieved by using the
986 ``-gdwarf-<version>`` flag, with the version being set to 2 or 3. Setting the
987 version to 2 is recommended for DS-5 versions older than 5.16.
988
989 When debugging logic problems it might also be useful to disable all compiler
990 optimizations by using ``-O0``.
991
992 .. warning::
993 Using ``-O0`` could cause output images to be larger and base addresses
994 might need to be recalculated (see the **Memory layout on Arm development
995 platforms** section in the `Firmware Design`_).
996
997 Extra debug options can be passed to the build system by setting ``CFLAGS`` or
998 ``LDFLAGS``:
999
1000 .. code:: shell
1001
1002 CFLAGS='-O0 -gdwarf-2' \
1003 make PLAT=<platform> DEBUG=1 V=1 all
1004
1005 Note that using ``-Wl,`` style compilation driver options in ``CFLAGS`` will be
1006 ignored as the linker is called directly.
1007
1008 It is also possible to introduce an infinite loop to help in debugging the
1009 post-BL2 phase of TF-A. This can be done by rebuilding BL1 with the
1010 ``SPIN_ON_BL1_EXIT=1`` build flag. Refer to the `Summary of build options`_
1011 section. In this case, the developer may take control of the target using a
1012 debugger when indicated by the console output. When using DS-5, the following
1013 commands can be used:
1014
1015 ::
1016
1017 # Stop target execution
1018 interrupt
1019
1020 #
1021 # Prepare your debugging environment, e.g. set breakpoints
1022 #
1023
1024 # Jump over the debug loop
1025 set var $AARCH64::$Core::$PC = $AARCH64::$Core::$PC + 4
1026
1027 # Resume execution
1028 continue
1029
1030 Building the Test Secure Payload
1031 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1032
1033 The TSP is coupled with a companion runtime service in the BL31 firmware,
1034 called the TSPD. Therefore, if you intend to use the TSP, the BL31 image
1035 must be recompiled as well. For more information on SPs and SPDs, see the
1036 `Secure-EL1 Payloads and Dispatchers`_ section in the `Firmware Design`_.
1037
1038 First clean the TF-A build directory to get rid of any previous BL31 binary.
1039 Then to build the TSP image use:
1040
1041 .. code:: shell
1042
1043 make PLAT=<platform> SPD=tspd all
1044
1045 An additional boot loader binary file is created in the ``build`` directory:
1046
1047 ::
1048
1049 build/<platform>/<build-type>/bl32.bin
1050
1051
1052 Building and using the FIP tool
1053 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1054
1055 Firmware Image Package (FIP) is a packaging format used by TF-A to package
1056 firmware images in a single binary. The number and type of images that should
1057 be packed in a FIP is platform specific and may include TF-A images and other
1058 firmware images required by the platform. For example, most platforms require
1059 a BL33 image which corresponds to the normal world bootloader (e.g. UEFI or
1060 U-Boot).
1061
1062 The TF-A build system provides the make target ``fip`` to create a FIP file
1063 for the specified platform using the FIP creation tool included in the TF-A
1064 project. Examples below show how to build a FIP file for FVP, packaging TF-A
1065 and BL33 images.
1066
1067 For AArch64:
1068
1069 .. code:: shell
1070
1071 make PLAT=fvp BL33=<path-to>/bl33.bin fip
1072
1073 For AArch32:
1074
1075 .. code:: shell
1076
1077 make PLAT=fvp ARCH=aarch32 AARCH32_SP=sp_min BL33=<path-to>/bl33.bin fip
1078
1079 The resulting FIP may be found in:
1080
1081 ::
1082
1083 build/fvp/<build-type>/fip.bin
1084
1085 For advanced operations on FIP files, it is also possible to independently build
1086 the tool and create or modify FIPs using this tool. To do this, follow these
1087 steps:
1088
1089 It is recommended to remove old artifacts before building the tool:
1090
1091 .. code:: shell
1092
1093 make -C tools/fiptool clean
1094
1095 Build the tool:
1096
1097 .. code:: shell
1098
1099 make [DEBUG=1] [V=1] fiptool
1100
1101 The tool binary can be located in:
1102
1103 ::
1104
1105 ./tools/fiptool/fiptool
1106
1107 Invoking the tool with ``help`` will print a help message with all available
1108 options.
1109
1110 Example 1: create a new Firmware package ``fip.bin`` that contains BL2 and BL31:
1111
1112 .. code:: shell
1113
1114 ./tools/fiptool/fiptool create \
1115 --tb-fw build/<platform>/<build-type>/bl2.bin \
1116 --soc-fw build/<platform>/<build-type>/bl31.bin \
1117 fip.bin
1118
1119 Example 2: view the contents of an existing Firmware package:
1120
1121 .. code:: shell
1122
1123 ./tools/fiptool/fiptool info <path-to>/fip.bin
1124
1125 Example 3: update the entries of an existing Firmware package:
1126
1127 .. code:: shell
1128
1129 # Change the BL2 from Debug to Release version
1130 ./tools/fiptool/fiptool update \
1131 --tb-fw build/<platform>/release/bl2.bin \
1132 build/<platform>/debug/fip.bin
1133
1134 Example 4: unpack all entries from an existing Firmware package:
1135
1136 .. code:: shell
1137
1138 # Images will be unpacked to the working directory
1139 ./tools/fiptool/fiptool unpack <path-to>/fip.bin
1140
1141 Example 5: remove an entry from an existing Firmware package:
1142
1143 .. code:: shell
1144
1145 ./tools/fiptool/fiptool remove \
1146 --tb-fw build/<platform>/debug/fip.bin
1147
1148 Note that if the destination FIP file exists, the create, update and
1149 remove operations will automatically overwrite it.
1150
1151 The unpack operation will fail if the images already exist at the
1152 destination. In that case, use -f or --force to continue.
1153
1154 More information about FIP can be found in the `Firmware Design`_ document.
1155
1156 Building FIP images with support for Trusted Board Boot
1157 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1158
1159 Trusted Board Boot primarily consists of the following two features:
1160
1161 - Image Authentication, described in `Trusted Board Boot`_, and
1162 - Firmware Update, described in `Firmware Update`_
1163
1164 The following steps should be followed to build FIP and (optionally) FWU_FIP
1165 images with support for these features:
1166
1167 #. Fulfill the dependencies of the ``mbedtls`` cryptographic and image parser
1168 modules by checking out a recent version of the `mbed TLS Repository`_. It
1169 is important to use a version that is compatible with TF-A and fixes any
1170 known security vulnerabilities. See `mbed TLS Security Center`_ for more
1171 information. The latest version of TF-A is tested with tag
1172 ``mbedtls-2.16.0``.
1173
1174 The ``drivers/auth/mbedtls/mbedtls_*.mk`` files contain the list of mbed TLS
1175 source files the modules depend upon.
1176 ``include/drivers/auth/mbedtls/mbedtls_config.h`` contains the configuration
1177 options required to build the mbed TLS sources.
1178
1179 Note that the mbed TLS library is licensed under the Apache version 2.0
1180 license. Using mbed TLS source code will affect the licensing of TF-A
1181 binaries that are built using this library.
1182
1183 #. To build the FIP image, ensure the following command line variables are set
1184 while invoking ``make`` to build TF-A:
1185
1186 - ``MBEDTLS_DIR=<path of the directory containing mbed TLS sources>``
1187 - ``TRUSTED_BOARD_BOOT=1``
1188 - ``GENERATE_COT=1``
1189
1190 In the case of Arm platforms, the location of the ROTPK hash must also be
1191 specified at build time. Two locations are currently supported (see
1192 ``ARM_ROTPK_LOCATION`` build option):
1193
1194 - ``ARM_ROTPK_LOCATION=regs``: the ROTPK hash is obtained from the Trusted
1195 root-key storage registers present in the platform. On Juno, this
1196 registers are read-only. On FVP Base and Cortex models, the registers
1197 are read-only, but the value can be specified using the command line
1198 option ``bp.trusted_key_storage.public_key`` when launching the model.
1199 On both Juno and FVP models, the default value corresponds to an
1200 ECDSA-SECP256R1 public key hash, whose private part is not currently
1201 available.
1202
1203 - ``ARM_ROTPK_LOCATION=devel_rsa``: use the ROTPK hash that is hardcoded
1204 in the Arm platform port. The private/public RSA key pair may be
1205 found in ``plat/arm/board/common/rotpk``.
1206
1207 - ``ARM_ROTPK_LOCATION=devel_ecdsa``: use the ROTPK hash that is hardcoded
1208 in the Arm platform port. The private/public ECDSA key pair may be
1209 found in ``plat/arm/board/common/rotpk``.
1210
1211 Example of command line using RSA development keys:
1212
1213 .. code:: shell
1214
1215 MBEDTLS_DIR=<path of the directory containing mbed TLS sources> \
1216 make PLAT=<platform> TRUSTED_BOARD_BOOT=1 GENERATE_COT=1 \
1217 ARM_ROTPK_LOCATION=devel_rsa \
1218 ROT_KEY=plat/arm/board/common/rotpk/arm_rotprivk_rsa.pem \
1219 BL33=<path-to>/<bl33_image> \
1220 all fip
1221
1222 The result of this build will be the bl1.bin and the fip.bin binaries. This
1223 FIP will include the certificates corresponding to the Chain of Trust
1224 described in the TBBR-client document. These certificates can also be found
1225 in the output build directory.
1226
1227 #. The optional FWU_FIP contains any additional images to be loaded from
1228 Non-Volatile storage during the `Firmware Update`_ process. To build the
1229 FWU_FIP, any FWU images required by the platform must be specified on the
1230 command line. On Arm development platforms like Juno, these are:
1231
1232 - NS_BL2U. The AP non-secure Firmware Updater image.
1233 - SCP_BL2U. The SCP Firmware Update Configuration image.
1234
1235 Example of Juno command line for generating both ``fwu`` and ``fwu_fip``
1236 targets using RSA development:
1237
1238 ::
1239
1240 MBEDTLS_DIR=<path of the directory containing mbed TLS sources> \
1241 make PLAT=juno TRUSTED_BOARD_BOOT=1 GENERATE_COT=1 \
1242 ARM_ROTPK_LOCATION=devel_rsa \
1243 ROT_KEY=plat/arm/board/common/rotpk/arm_rotprivk_rsa.pem \
1244 BL33=<path-to>/<bl33_image> \
1245 SCP_BL2=<path-to>/<scp_bl2_image> \
1246 SCP_BL2U=<path-to>/<scp_bl2u_image> \
1247 NS_BL2U=<path-to>/<ns_bl2u_image> \
1248 all fip fwu_fip
1249
1250 .. note::
1251 The BL2U image will be built by default and added to the FWU_FIP.
1252 The user may override this by adding ``BL2U=<path-to>/<bl2u_image>``
1253 to the command line above.
1254
1255 .. note::
1256 Building and installing the non-secure and SCP FWU images (NS_BL1U,
1257 NS_BL2U and SCP_BL2U) is outside the scope of this document.
1258
1259 The result of this build will be bl1.bin, fip.bin and fwu_fip.bin binaries.
1260 Both the FIP and FWU_FIP will include the certificates corresponding to the
1261 Chain of Trust described in the TBBR-client document. These certificates
1262 can also be found in the output build directory.
1263
1264 Building the Certificate Generation Tool
1265 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1266
1267 The ``cert_create`` tool is built as part of the TF-A build process when the
1268 ``fip`` make target is specified and TBB is enabled (as described in the
1269 previous section), but it can also be built separately with the following
1270 command:
1271
1272 .. code:: shell
1273
1274 make PLAT=<platform> [DEBUG=1] [V=1] certtool
1275
1276 For platforms that require their own IDs in certificate files, the generic
1277 'cert_create' tool can be built with the following command. Note that the target
1278 platform must define its IDs within a ``platform_oid.h`` header file for the
1279 build to succeed.
1280
1281 .. code:: shell
1282
1283 make PLAT=<platform> USE_TBBR_DEFS=0 [DEBUG=1] [V=1] certtool
1284
1285 ``DEBUG=1`` builds the tool in debug mode. ``V=1`` makes the build process more
1286 verbose. The following command should be used to obtain help about the tool:
1287
1288 .. code:: shell
1289
1290 ./tools/cert_create/cert_create -h
1291
1292 Building a FIP for Juno and FVP
1293 -------------------------------
1294
1295 This section provides Juno and FVP specific instructions to build Trusted
1296 Firmware, obtain the additional required firmware, and pack it all together in
1297 a single FIP binary. It assumes that a `Linaro Release`_ has been installed.
1298
1299 .. note::
1300 Pre-built binaries for AArch32 are available from Linaro Release 16.12
1301 onwards. Before that release, pre-built binaries are only available for
1302 AArch64.
1303
1304 .. warning::
1305 Follow the full instructions for one platform before switching to a
1306 different one. Mixing instructions for different platforms may result in
1307 corrupted binaries.
1308
1309 .. warning::
1310 The uboot image downloaded by the Linaro workspace script does not always
1311 match the uboot image packaged as BL33 in the corresponding fip file. It is
1312 recommended to use the version that is packaged in the fip file using the
1313 instructions below.
1314
1315 .. note::
1316 For the FVP, the kernel FDT is packaged in FIP during build and loaded
1317 by the firmware at runtime. See `Obtaining the Flattened Device Trees`_
1318 section for more info on selecting the right FDT to use.
1319
1320 #. Clean the working directory
1321
1322 .. code:: shell
1323
1324 make realclean
1325
1326 #. Obtain SCP_BL2 (Juno) and BL33 (all platforms)
1327
1328 Use the fiptool to extract the SCP_BL2 and BL33 images from the FIP
1329 package included in the Linaro release:
1330
1331 .. code:: shell
1332
1333 # Build the fiptool
1334 make [DEBUG=1] [V=1] fiptool
1335
1336 # Unpack firmware images from Linaro FIP
1337 ./tools/fiptool/fiptool unpack <path-to-linaro-release>/fip.bin
1338
1339 The unpack operation will result in a set of binary images extracted to the
1340 current working directory. The SCP_BL2 image corresponds to
1341 ``scp-fw.bin`` and BL33 corresponds to ``nt-fw.bin``.
1342
1343 .. note::
1344 The fiptool will complain if the images to be unpacked already
1345 exist in the current directory. If that is the case, either delete those
1346 files or use the ``--force`` option to overwrite.
1347
1348 .. note::
1349 For AArch32, the instructions below assume that nt-fw.bin is a
1350 normal world boot loader that supports AArch32.
1351
1352 #. Build TF-A images and create a new FIP for FVP
1353
1354 .. code:: shell
1355
1356 # AArch64
1357 make PLAT=fvp BL33=nt-fw.bin all fip
1358
1359 # AArch32
1360 make PLAT=fvp ARCH=aarch32 AARCH32_SP=sp_min BL33=nt-fw.bin all fip
1361
1362 #. Build TF-A images and create a new FIP for Juno
1363
1364 For AArch64:
1365
1366 Building for AArch64 on Juno simply requires the addition of ``SCP_BL2``
1367 as a build parameter.
1368
1369 .. code:: shell
1370
1371 make PLAT=juno BL33=nt-fw.bin SCP_BL2=scp-fw.bin all fip
1372
1373 For AArch32:
1374
1375 Hardware restrictions on Juno prevent cold reset into AArch32 execution mode,
1376 therefore BL1 and BL2 must be compiled for AArch64, and BL32 is compiled
1377 separately for AArch32.
1378
1379 - Before building BL32, the environment variable ``CROSS_COMPILE`` must point
1380 to the AArch32 Linaro cross compiler.
1381
1382 .. code:: shell
1383
1384 export CROSS_COMPILE=<path-to-aarch32-gcc>/bin/arm-linux-gnueabihf-
1385
1386 - Build BL32 in AArch32.
1387
1388 .. code:: shell
1389
1390 make ARCH=aarch32 PLAT=juno AARCH32_SP=sp_min \
1391 RESET_TO_SP_MIN=1 JUNO_AARCH32_EL3_RUNTIME=1 bl32
1392
1393 - Save ``bl32.bin`` to a temporary location and clean the build products.
1394
1395 ::
1396
1397 cp <path-to-build>/bl32.bin <path-to-temporary>
1398 make realclean
1399
1400 - Before building BL1 and BL2, the environment variable ``CROSS_COMPILE``
1401 must point to the AArch64 Linaro cross compiler.
1402
1403 .. code:: shell
1404
1405 export CROSS_COMPILE=<path-to-aarch64-gcc>/bin/aarch64-linux-gnu-
1406
1407 - The following parameters should be used to build BL1 and BL2 in AArch64
1408 and point to the BL32 file.
1409
1410 .. code:: shell
1411
1412 make ARCH=aarch64 PLAT=juno JUNO_AARCH32_EL3_RUNTIME=1 \
1413 BL33=nt-fw.bin SCP_BL2=scp-fw.bin \
1414 BL32=<path-to-temporary>/bl32.bin all fip
1415
1416 The resulting BL1 and FIP images may be found in:
1417
1418 ::
1419
1420 # Juno
1421 ./build/juno/release/bl1.bin
1422 ./build/juno/release/fip.bin
1423
1424 # FVP
1425 ./build/fvp/release/bl1.bin
1426 ./build/fvp/release/fip.bin
1427
1428
1429 Booting Firmware Update images
1430 -------------------------------------
1431
1432 When Firmware Update (FWU) is enabled there are at least 2 new images
1433 that have to be loaded, the Non-Secure FWU ROM (NS-BL1U), and the
1434 FWU FIP.
1435
1436 Juno
1437 ~~~~
1438
1439 The new images must be programmed in flash memory by adding
1440 an entry in the ``SITE1/HBI0262x/images.txt`` configuration file
1441 on the Juno SD card (where ``x`` depends on the revision of the Juno board).
1442 Refer to the `Juno Getting Started Guide`_, section 2.3 "Flash memory
1443 programming" for more information. User should ensure these do not
1444 overlap with any other entries in the file.
1445
1446 ::
1447
1448 NOR10UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
1449 NOR10ADDRESS: 0x00400000 ;Image Flash Address [ns_bl2u_base_address]
1450 NOR10FILE: \SOFTWARE\fwu_fip.bin ;Image File Name
1451 NOR10LOAD: 00000000 ;Image Load Address
1452 NOR10ENTRY: 00000000 ;Image Entry Point
1453
1454 NOR11UPDATE: AUTO ;Image Update:NONE/AUTO/FORCE
1455 NOR11ADDRESS: 0x03EB8000 ;Image Flash Address [ns_bl1u_base_address]
1456 NOR11FILE: \SOFTWARE\ns_bl1u.bin ;Image File Name
1457 NOR11LOAD: 00000000 ;Image Load Address
1458
1459 The address ns_bl1u_base_address is the value of NS_BL1U_BASE - 0x8000000.
1460 In the same way, the address ns_bl2u_base_address is the value of
1461 NS_BL2U_BASE - 0x8000000.
1462
1463 FVP
1464 ~~~
1465
1466 The additional fip images must be loaded with:
1467
1468 ::
1469
1470 --data cluster0.cpu0="<path_to>/ns_bl1u.bin"@0x0beb8000 [ns_bl1u_base_address]
1471 --data cluster0.cpu0="<path_to>/fwu_fip.bin"@0x08400000 [ns_bl2u_base_address]
1472
1473 The address ns_bl1u_base_address is the value of NS_BL1U_BASE.
1474 In the same way, the address ns_bl2u_base_address is the value of
1475 NS_BL2U_BASE.
1476
1477
1478 EL3 payloads alternative boot flow
1479 ----------------------------------
1480
1481 On a pre-production system, the ability to execute arbitrary, bare-metal code at
1482 the highest exception level is required. It allows full, direct access to the
1483 hardware, for example to run silicon soak tests.
1484
1485 Although it is possible to implement some baremetal secure firmware from
1486 scratch, this is a complex task on some platforms, depending on the level of
1487 configuration required to put the system in the expected state.
1488
1489 Rather than booting a baremetal application, a possible compromise is to boot
1490 ``EL3 payloads`` through TF-A instead. This is implemented as an alternative
1491 boot flow, where a modified BL2 boots an EL3 payload, instead of loading the
1492 other BL images and passing control to BL31. It reduces the complexity of
1493 developing EL3 baremetal code by:
1494
1495 - putting the system into a known architectural state;
1496 - taking care of platform secure world initialization;
1497 - loading the SCP_BL2 image if required by the platform.
1498
1499 When booting an EL3 payload on Arm standard platforms, the configuration of the
1500 TrustZone controller is simplified such that only region 0 is enabled and is
1501 configured to permit secure access only. This gives full access to the whole
1502 DRAM to the EL3 payload.
1503
1504 The system is left in the same state as when entering BL31 in the default boot
1505 flow. In particular:
1506
1507 - Running in EL3;
1508 - Current state is AArch64;
1509 - Little-endian data access;
1510 - All exceptions disabled;
1511 - MMU disabled;
1512 - Caches disabled.
1513
1514 Booting an EL3 payload
1515 ~~~~~~~~~~~~~~~~~~~~~~
1516
1517 The EL3 payload image is a standalone image and is not part of the FIP. It is
1518 not loaded by TF-A. Therefore, there are 2 possible scenarios:
1519
1520 - The EL3 payload may reside in non-volatile memory (NVM) and execute in
1521 place. In this case, booting it is just a matter of specifying the right
1522 address in NVM through ``EL3_PAYLOAD_BASE`` when building TF-A.
1523
1524 - The EL3 payload needs to be loaded in volatile memory (e.g. DRAM) at
1525 run-time.
1526
1527 To help in the latter scenario, the ``SPIN_ON_BL1_EXIT=1`` build option can be
1528 used. The infinite loop that it introduces in BL1 stops execution at the right
1529 moment for a debugger to take control of the target and load the payload (for
1530 example, over JTAG).
1531
1532 It is expected that this loading method will work in most cases, as a debugger
1533 connection is usually available in a pre-production system. The user is free to
1534 use any other platform-specific mechanism to load the EL3 payload, though.
1535
1536 Booting an EL3 payload on FVP
1537 ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
1538
1539 The EL3 payloads boot flow requires the CPU's mailbox to be cleared at reset for
1540 the secondary CPUs holding pen to work properly. Unfortunately, its reset value
1541 is undefined on the FVP platform and the FVP platform code doesn't clear it.
1542 Therefore, one must modify the way the model is normally invoked in order to
1543 clear the mailbox at start-up.
1544
1545 One way to do that is to create an 8-byte file containing all zero bytes using
1546 the following command:
1547
1548 .. code:: shell
1549
1550 dd if=/dev/zero of=mailbox.dat bs=1 count=8
1551
1552 and pre-load it into the FVP memory at the mailbox address (i.e. ``0x04000000``)
1553 using the following model parameters:
1554
1555 ::
1556
1557 --data cluster0.cpu0=mailbox.dat@0x04000000 [Base FVPs]
1558 --data=mailbox.dat@0x04000000 [Foundation FVP]
1559
1560 To provide the model with the EL3 payload image, the following methods may be
1561 used:
1562
1563 #. If the EL3 payload is able to execute in place, it may be programmed into
1564 flash memory. On Base Cortex and AEM FVPs, the following model parameter
1565 loads it at the base address of the NOR FLASH1 (the NOR FLASH0 is already
1566 used for the FIP):
1567
1568 ::
1569
1570 -C bp.flashloader1.fname="<path-to>/<el3-payload>"
1571
1572 On Foundation FVP, there is no flash loader component and the EL3 payload
1573 may be programmed anywhere in flash using method 3 below.
1574
1575 #. When using the ``SPIN_ON_BL1_EXIT=1`` loading method, the following DS-5
1576 command may be used to load the EL3 payload ELF image over JTAG:
1577
1578 ::
1579
1580 load <path-to>/el3-payload.elf
1581
1582 #. The EL3 payload may be pre-loaded in volatile memory using the following
1583 model parameters:
1584
1585 ::
1586
1587 --data cluster0.cpu0="<path-to>/el3-payload>"@address [Base FVPs]
1588 --data="<path-to>/<el3-payload>"@address [Foundation FVP]
1589
1590 The address provided to the FVP must match the ``EL3_PAYLOAD_BASE`` address
1591 used when building TF-A.
1592
1593 Booting an EL3 payload on Juno
1594 ^^^^^^^^^^^^^^^^^^^^^^^^^^^^^^
1595
1596 If the EL3 payload is able to execute in place, it may be programmed in flash
1597 memory by adding an entry in the ``SITE1/HBI0262x/images.txt`` configuration file
1598 on the Juno SD card (where ``x`` depends on the revision of the Juno board).
1599 Refer to the `Juno Getting Started Guide`_, section 2.3 "Flash memory
1600 programming" for more information.
1601
1602 Alternatively, the same DS-5 command mentioned in the FVP section above can
1603 be used to load the EL3 payload's ELF file over JTAG on Juno.
1604
1605 Preloaded BL33 alternative boot flow
1606 ------------------------------------
1607
1608 Some platforms have the ability to preload BL33 into memory instead of relying
1609 on TF-A to load it. This may simplify packaging of the normal world code and
1610 improve performance in a development environment. When secure world cold boot
1611 is complete, TF-A simply jumps to a BL33 base address provided at build time.
1612
1613 For this option to be used, the ``PRELOADED_BL33_BASE`` build option has to be
1614 used when compiling TF-A. For example, the following command will create a FIP
1615 without a BL33 and prepare to jump to a BL33 image loaded at address
1616 0x80000000:
1617
1618 .. code:: shell
1619
1620 make PRELOADED_BL33_BASE=0x80000000 PLAT=fvp all fip
1621
1622 Boot of a preloaded kernel image on Base FVP
1623 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1624
1625 The following example uses a simplified boot flow by directly jumping from the
1626 TF-A to the Linux kernel, which will use a ramdisk as filesystem. This can be
1627 useful if both the kernel and the device tree blob (DTB) are already present in
1628 memory (like in FVP).
1629
1630 For example, if the kernel is loaded at ``0x80080000`` and the DTB is loaded at
1631 address ``0x82000000``, the firmware can be built like this:
1632
1633 .. code:: shell
1634
1635 CROSS_COMPILE=aarch64-linux-gnu- \
1636 make PLAT=fvp DEBUG=1 \
1637 RESET_TO_BL31=1 \
1638 ARM_LINUX_KERNEL_AS_BL33=1 \
1639 PRELOADED_BL33_BASE=0x80080000 \
1640 ARM_PRELOADED_DTB_BASE=0x82000000 \
1641 all fip
1642
1643 Now, it is needed to modify the DTB so that the kernel knows the address of the
1644 ramdisk. The following script generates a patched DTB from the provided one,
1645 assuming that the ramdisk is loaded at address ``0x84000000``. Note that this
1646 script assumes that the user is using a ramdisk image prepared for U-Boot, like
1647 the ones provided by Linaro. If using a ramdisk without this header,the ``0x40``
1648 offset in ``INITRD_START`` has to be removed.
1649
1650 .. code:: bash
1651
1652 #!/bin/bash
1653
1654 # Path to the input DTB
1655 KERNEL_DTB=<path-to>/<fdt>
1656 # Path to the output DTB
1657 PATCHED_KERNEL_DTB=<path-to>/<patched-fdt>
1658 # Base address of the ramdisk
1659 INITRD_BASE=0x84000000
1660 # Path to the ramdisk
1661 INITRD=<path-to>/<ramdisk.img>
1662
1663 # Skip uboot header (64 bytes)
1664 INITRD_START=$(printf "0x%x" $((${INITRD_BASE} + 0x40)) )
1665 INITRD_SIZE=$(stat -Lc %s ${INITRD})
1666 INITRD_END=$(printf "0x%x" $((${INITRD_BASE} + ${INITRD_SIZE})) )
1667
1668 CHOSEN_NODE=$(echo \
1669 "/ { \
1670 chosen { \
1671 linux,initrd-start = <${INITRD_START}>; \
1672 linux,initrd-end = <${INITRD_END}>; \
1673 }; \
1674 };")
1675
1676 echo $(dtc -O dts -I dtb ${KERNEL_DTB}) ${CHOSEN_NODE} | \
1677 dtc -O dtb -o ${PATCHED_KERNEL_DTB} -
1678
1679 And the FVP binary can be run with the following command:
1680
1681 .. code:: shell
1682
1683 <path-to>/FVP_Base_AEMv8A-AEMv8A \
1684 -C pctl.startup=0.0.0.0 \
1685 -C bp.secure_memory=1 \
1686 -C cluster0.NUM_CORES=4 \
1687 -C cluster1.NUM_CORES=4 \
1688 -C cache_state_modelled=1 \
1689 -C cluster0.cpu0.RVBAR=0x04020000 \
1690 -C cluster0.cpu1.RVBAR=0x04020000 \
1691 -C cluster0.cpu2.RVBAR=0x04020000 \
1692 -C cluster0.cpu3.RVBAR=0x04020000 \
1693 -C cluster1.cpu0.RVBAR=0x04020000 \
1694 -C cluster1.cpu1.RVBAR=0x04020000 \
1695 -C cluster1.cpu2.RVBAR=0x04020000 \
1696 -C cluster1.cpu3.RVBAR=0x04020000 \
1697 --data cluster0.cpu0="<path-to>/bl31.bin"@0x04020000 \
1698 --data cluster0.cpu0="<path-to>/<patched-fdt>"@0x82000000 \
1699 --data cluster0.cpu0="<path-to>/<kernel-binary>"@0x80080000 \
1700 --data cluster0.cpu0="<path-to>/<ramdisk.img>"@0x84000000
1701
1702 Boot of a preloaded kernel image on Juno
1703 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1704
1705 The Trusted Firmware must be compiled in a similar way as for FVP explained
1706 above. The process to load binaries to memory is the one explained in
1707 `Booting an EL3 payload on Juno`_.
1708
1709 Running the software on FVP
1710 ---------------------------
1711
1712 The latest version of the AArch64 build of TF-A has been tested on the following
1713 Arm FVPs without shifted affinities, and that do not support threaded CPU cores
1714 (64-bit host machine only).
1715
1716 .. note::
1717 The FVP models used are Version 11.6 Build 45, unless otherwise stated.
1718
1719 - ``FVP_Base_AEMv8A-AEMv8A``
1720 - ``FVP_Base_AEMv8A-AEMv8A-AEMv8A-AEMv8A-CCN502``
1721 - ``FVP_Base_RevC-2xAEMv8A``
1722 - ``FVP_Base_Cortex-A32x4``
1723 - ``FVP_Base_Cortex-A35x4``
1724 - ``FVP_Base_Cortex-A53x4``
1725 - ``FVP_Base_Cortex-A55x4+Cortex-A75x4``
1726 - ``FVP_Base_Cortex-A55x4``
1727 - ``FVP_Base_Cortex-A57x1-A53x1``
1728 - ``FVP_Base_Cortex-A57x2-A53x4``
1729 - ``FVP_Base_Cortex-A57x4-A53x4``
1730 - ``FVP_Base_Cortex-A57x4``
1731 - ``FVP_Base_Cortex-A72x4-A53x4``
1732 - ``FVP_Base_Cortex-A72x4``
1733 - ``FVP_Base_Cortex-A73x4-A53x4``
1734 - ``FVP_Base_Cortex-A73x4``
1735 - ``FVP_Base_Cortex-A75x4``
1736 - ``FVP_Base_Cortex-A76x4``
1737 - ``FVP_Base_Cortex-A76AEx4``
1738 - ``FVP_Base_Cortex-A76AEx8``
1739 - ``FVP_Base_Cortex-A77x4`` (Version 11.7 build 36)
1740 - ``FVP_Base_Neoverse-N1x4``
1741 - ``FVP_CSS_SGI-575`` (Version 11.3 build 42)
1742 - ``FVP_CSS_SGM-775`` (Version 11.3 build 42)
1743 - ``FVP_RD_E1Edge`` (Version 11.3 build 42)
1744 - ``FVP_RD_N1Edge``
1745 - ``Foundation_Platform``
1746
1747 The latest version of the AArch32 build of TF-A has been tested on the following
1748 Arm FVPs without shifted affinities, and that do not support threaded CPU cores
1749 (64-bit host machine only).
1750
1751 - ``FVP_Base_AEMv8A-AEMv8A``
1752 - ``FVP_Base_Cortex-A32x4``
1753
1754 .. note::
1755 The ``FVP_Base_RevC-2xAEMv8A`` FVP only supports shifted affinities, which
1756 is not compatible with legacy GIC configurations. Therefore this FVP does not
1757 support these legacy GIC configurations.
1758
1759 .. note::
1760 The build numbers quoted above are those reported by launching the FVP
1761 with the ``--version`` parameter.
1762
1763 .. note::
1764 Linaro provides a ramdisk image in prebuilt FVP configurations and full
1765 file systems that can be downloaded separately. To run an FVP with a virtio
1766 file system image an additional FVP configuration option
1767 ``-C bp.virtioblockdevice.image_path="<path-to>/<file-system-image>`` can be
1768 used.
1769
1770 .. note::
1771 The software will not work on Version 1.0 of the Foundation FVP.
1772 The commands below would report an ``unhandled argument`` error in this case.
1773
1774 .. note::
1775 FVPs can be launched with ``--cadi-server`` option such that a
1776 CADI-compliant debugger (for example, Arm DS-5) can connect to and control
1777 its execution.
1778
1779 .. warning::
1780 Since FVP model Version 11.0 Build 11.0.34 and Version 8.5 Build 0.8.5202
1781 the internal synchronisation timings changed compared to older versions of
1782 the models. The models can be launched with ``-Q 100`` option if they are
1783 required to match the run time characteristics of the older versions.
1784
1785 The Foundation FVP is a cut down version of the AArch64 Base FVP. It can be
1786 downloaded for free from `Arm's website`_.
1787
1788 The Cortex-A models listed above are also available to download from
1789 `Arm's website`_.
1790
1791 Please refer to the FVP documentation for a detailed description of the model
1792 parameter options. A brief description of the important ones that affect TF-A
1793 and normal world software behavior is provided below.
1794
1795 Obtaining the Flattened Device Trees
1796 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1797
1798 Depending on the FVP configuration and Linux configuration used, different
1799 FDT files are required. FDT source files for the Foundation and Base FVPs can
1800 be found in the TF-A source directory under ``fdts/``. The Foundation FVP has
1801 a subset of the Base FVP components. For example, the Foundation FVP lacks
1802 CLCD and MMC support, and has only one CPU cluster.
1803
1804 .. note::
1805 It is not recommended to use the FDTs built along the kernel because not
1806 all FDTs are available from there.
1807
1808 The dynamic configuration capability is enabled in the firmware for FVPs.
1809 This means that the firmware can authenticate and load the FDT if present in
1810 FIP. A default FDT is packaged into FIP during the build based on
1811 the build configuration. This can be overridden by using the ``FVP_HW_CONFIG``
1812 or ``FVP_HW_CONFIG_DTS`` build options (refer to the
1813 `Arm FVP platform specific build options`_ section for detail on the options).
1814
1815 - ``fvp-base-gicv2-psci.dts``
1816
1817 For use with models such as the Cortex-A57-A53 Base FVPs without shifted
1818 affinities and with Base memory map configuration.
1819
1820 - ``fvp-base-gicv2-psci-aarch32.dts``
1821
1822 For use with models such as the Cortex-A32 Base FVPs without shifted
1823 affinities and running Linux in AArch32 state with Base memory map
1824 configuration.
1825
1826 - ``fvp-base-gicv3-psci.dts``
1827
1828 For use with models such as the Cortex-A57-A53 Base FVPs without shifted
1829 affinities and with Base memory map configuration and Linux GICv3 support.
1830
1831 - ``fvp-base-gicv3-psci-1t.dts``
1832
1833 For use with models such as the AEMv8-RevC Base FVP with shifted affinities,
1834 single threaded CPUs, Base memory map configuration and Linux GICv3 support.
1835
1836 - ``fvp-base-gicv3-psci-dynamiq.dts``
1837
1838 For use with models as the Cortex-A55-A75 Base FVPs with shifted affinities,
1839 single cluster, single threaded CPUs, Base memory map configuration and Linux
1840 GICv3 support.
1841
1842 - ``fvp-base-gicv3-psci-aarch32.dts``
1843
1844 For use with models such as the Cortex-A32 Base FVPs without shifted
1845 affinities and running Linux in AArch32 state with Base memory map
1846 configuration and Linux GICv3 support.
1847
1848 - ``fvp-foundation-gicv2-psci.dts``
1849
1850 For use with Foundation FVP with Base memory map configuration.
1851
1852 - ``fvp-foundation-gicv3-psci.dts``
1853
1854 (Default) For use with Foundation FVP with Base memory map configuration
1855 and Linux GICv3 support.
1856
1857 Running on the Foundation FVP with reset to BL1 entrypoint
1858 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1859
1860 The following ``Foundation_Platform`` parameters should be used to boot Linux with
1861 4 CPUs using the AArch64 build of TF-A.
1862
1863 .. code:: shell
1864
1865 <path-to>/Foundation_Platform \
1866 --cores=4 \
1867 --arm-v8.0 \
1868 --secure-memory \
1869 --visualization \
1870 --gicv3 \
1871 --data="<path-to>/<bl1-binary>"@0x0 \
1872 --data="<path-to>/<FIP-binary>"@0x08000000 \
1873 --data="<path-to>/<kernel-binary>"@0x80080000 \
1874 --data="<path-to>/<ramdisk-binary>"@0x84000000
1875
1876 Notes:
1877
1878 - BL1 is loaded at the start of the Trusted ROM.
1879 - The Firmware Image Package is loaded at the start of NOR FLASH0.
1880 - The firmware loads the FDT packaged in FIP to the DRAM. The FDT load address
1881 is specified via the ``hw_config_addr`` property in `TB_FW_CONFIG for FVP`_.
1882 - The default use-case for the Foundation FVP is to use the ``--gicv3`` option
1883 and enable the GICv3 device in the model. Note that without this option,
1884 the Foundation FVP defaults to legacy (Versatile Express) memory map which
1885 is not supported by TF-A.
1886 - In order for TF-A to run correctly on the Foundation FVP, the architecture
1887 versions must match. The Foundation FVP defaults to the highest v8.x
1888 version it supports but the default build for TF-A is for v8.0. To avoid
1889 issues either start the Foundation FVP to use v8.0 architecture using the
1890 ``--arm-v8.0`` option, or build TF-A with an appropriate value for
1891 ``ARM_ARCH_MINOR``.
1892
1893 Running on the AEMv8 Base FVP with reset to BL1 entrypoint
1894 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1895
1896 The following ``FVP_Base_RevC-2xAEMv8A`` parameters should be used to boot Linux
1897 with 8 CPUs using the AArch64 build of TF-A.
1898
1899 .. code:: shell
1900
1901 <path-to>/FVP_Base_RevC-2xAEMv8A \
1902 -C pctl.startup=0.0.0.0 \
1903 -C bp.secure_memory=1 \
1904 -C bp.tzc_400.diagnostics=1 \
1905 -C cluster0.NUM_CORES=4 \
1906 -C cluster1.NUM_CORES=4 \
1907 -C cache_state_modelled=1 \
1908 -C bp.secureflashloader.fname="<path-to>/<bl1-binary>" \
1909 -C bp.flashloader0.fname="<path-to>/<FIP-binary>" \
1910 --data cluster0.cpu0="<path-to>/<kernel-binary>"@0x80080000 \
1911 --data cluster0.cpu0="<path-to>/<ramdisk>"@0x84000000
1912
1913 .. note::
1914 The ``FVP_Base_RevC-2xAEMv8A`` has shifted affinities and requires
1915 a specific DTS for all the CPUs to be loaded.
1916
1917 Running on the AEMv8 Base FVP (AArch32) with reset to BL1 entrypoint
1918 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1919
1920 The following ``FVP_Base_AEMv8A-AEMv8A`` parameters should be used to boot Linux
1921 with 8 CPUs using the AArch32 build of TF-A.
1922
1923 .. code:: shell
1924
1925 <path-to>/FVP_Base_AEMv8A-AEMv8A \
1926 -C pctl.startup=0.0.0.0 \
1927 -C bp.secure_memory=1 \
1928 -C bp.tzc_400.diagnostics=1 \
1929 -C cluster0.NUM_CORES=4 \
1930 -C cluster1.NUM_CORES=4 \
1931 -C cache_state_modelled=1 \
1932 -C cluster0.cpu0.CONFIG64=0 \
1933 -C cluster0.cpu1.CONFIG64=0 \
1934 -C cluster0.cpu2.CONFIG64=0 \
1935 -C cluster0.cpu3.CONFIG64=0 \
1936 -C cluster1.cpu0.CONFIG64=0 \
1937 -C cluster1.cpu1.CONFIG64=0 \
1938 -C cluster1.cpu2.CONFIG64=0 \
1939 -C cluster1.cpu3.CONFIG64=0 \
1940 -C bp.secureflashloader.fname="<path-to>/<bl1-binary>" \
1941 -C bp.flashloader0.fname="<path-to>/<FIP-binary>" \
1942 --data cluster0.cpu0="<path-to>/<kernel-binary>"@0x80080000 \
1943 --data cluster0.cpu0="<path-to>/<ramdisk>"@0x84000000
1944
1945 Running on the Cortex-A57-A53 Base FVP with reset to BL1 entrypoint
1946 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1947
1948 The following ``FVP_Base_Cortex-A57x4-A53x4`` model parameters should be used to
1949 boot Linux with 8 CPUs using the AArch64 build of TF-A.
1950
1951 .. code:: shell
1952
1953 <path-to>/FVP_Base_Cortex-A57x4-A53x4 \
1954 -C pctl.startup=0.0.0.0 \
1955 -C bp.secure_memory=1 \
1956 -C bp.tzc_400.diagnostics=1 \
1957 -C cache_state_modelled=1 \
1958 -C bp.secureflashloader.fname="<path-to>/<bl1-binary>" \
1959 -C bp.flashloader0.fname="<path-to>/<FIP-binary>" \
1960 --data cluster0.cpu0="<path-to>/<kernel-binary>"@0x80080000 \
1961 --data cluster0.cpu0="<path-to>/<ramdisk>"@0x84000000
1962
1963 Running on the Cortex-A32 Base FVP (AArch32) with reset to BL1 entrypoint
1964 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1965
1966 The following ``FVP_Base_Cortex-A32x4`` model parameters should be used to
1967 boot Linux with 4 CPUs using the AArch32 build of TF-A.
1968
1969 .. code:: shell
1970
1971 <path-to>/FVP_Base_Cortex-A32x4 \
1972 -C pctl.startup=0.0.0.0 \
1973 -C bp.secure_memory=1 \
1974 -C bp.tzc_400.diagnostics=1 \
1975 -C cache_state_modelled=1 \
1976 -C bp.secureflashloader.fname="<path-to>/<bl1-binary>" \
1977 -C bp.flashloader0.fname="<path-to>/<FIP-binary>" \
1978 --data cluster0.cpu0="<path-to>/<kernel-binary>"@0x80080000 \
1979 --data cluster0.cpu0="<path-to>/<ramdisk>"@0x84000000
1980
1981 Running on the AEMv8 Base FVP with reset to BL31 entrypoint
1982 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
1983
1984 The following ``FVP_Base_RevC-2xAEMv8A`` parameters should be used to boot Linux
1985 with 8 CPUs using the AArch64 build of TF-A.
1986
1987 .. code:: shell
1988
1989 <path-to>/FVP_Base_RevC-2xAEMv8A \
1990 -C pctl.startup=0.0.0.0 \
1991 -C bp.secure_memory=1 \
1992 -C bp.tzc_400.diagnostics=1 \
1993 -C cluster0.NUM_CORES=4 \
1994 -C cluster1.NUM_CORES=4 \
1995 -C cache_state_modelled=1 \
1996 -C cluster0.cpu0.RVBAR=0x04010000 \
1997 -C cluster0.cpu1.RVBAR=0x04010000 \
1998 -C cluster0.cpu2.RVBAR=0x04010000 \
1999 -C cluster0.cpu3.RVBAR=0x04010000 \
2000 -C cluster1.cpu0.RVBAR=0x04010000 \
2001 -C cluster1.cpu1.RVBAR=0x04010000 \
2002 -C cluster1.cpu2.RVBAR=0x04010000 \
2003 -C cluster1.cpu3.RVBAR=0x04010000 \
2004 --data cluster0.cpu0="<path-to>/<bl31-binary>"@0x04010000 \
2005 --data cluster0.cpu0="<path-to>/<bl32-binary>"@0xff000000 \
2006 --data cluster0.cpu0="<path-to>/<bl33-binary>"@0x88000000 \
2007 --data cluster0.cpu0="<path-to>/<fdt>"@0x82000000 \
2008 --data cluster0.cpu0="<path-to>/<kernel-binary>"@0x80080000 \
2009 --data cluster0.cpu0="<path-to>/<ramdisk>"@0x84000000
2010
2011 Notes:
2012
2013 - If Position Independent Executable (PIE) support is enabled for BL31
2014 in this config, it can be loaded at any valid address for execution.
2015
2016 - Since a FIP is not loaded when using BL31 as reset entrypoint, the
2017 ``--data="<path-to><bl31|bl32|bl33-binary>"@<base-address-of-binary>``
2018 parameter is needed to load the individual bootloader images in memory.
2019 BL32 image is only needed if BL31 has been built to expect a Secure-EL1
2020 Payload. For the same reason, the FDT needs to be compiled from the DT source
2021 and loaded via the ``--data cluster0.cpu0="<path-to>/<fdt>"@0x82000000``
2022 parameter.
2023
2024 - The ``FVP_Base_RevC-2xAEMv8A`` has shifted affinities and requires a
2025 specific DTS for all the CPUs to be loaded.
2026
2027 - The ``-C cluster<X>.cpu<Y>.RVBAR=@<base-address-of-bl31>`` parameter, where
2028 X and Y are the cluster and CPU numbers respectively, is used to set the
2029 reset vector for each core.
2030
2031 - Changing the default value of ``ARM_TSP_RAM_LOCATION`` will also require
2032 changing the value of
2033 ``--data="<path-to><bl32-binary>"@<base-address-of-bl32>`` to the new value of
2034 ``BL32_BASE``.
2035
2036 Running on the AEMv8 Base FVP (AArch32) with reset to SP_MIN entrypoint
2037 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2038
2039 The following ``FVP_Base_AEMv8A-AEMv8A`` parameters should be used to boot Linux
2040 with 8 CPUs using the AArch32 build of TF-A.
2041
2042 .. code:: shell
2043
2044 <path-to>/FVP_Base_AEMv8A-AEMv8A \
2045 -C pctl.startup=0.0.0.0 \
2046 -C bp.secure_memory=1 \
2047 -C bp.tzc_400.diagnostics=1 \
2048 -C cluster0.NUM_CORES=4 \
2049 -C cluster1.NUM_CORES=4 \
2050 -C cache_state_modelled=1 \
2051 -C cluster0.cpu0.CONFIG64=0 \
2052 -C cluster0.cpu1.CONFIG64=0 \
2053 -C cluster0.cpu2.CONFIG64=0 \
2054 -C cluster0.cpu3.CONFIG64=0 \
2055 -C cluster1.cpu0.CONFIG64=0 \
2056 -C cluster1.cpu1.CONFIG64=0 \
2057 -C cluster1.cpu2.CONFIG64=0 \
2058 -C cluster1.cpu3.CONFIG64=0 \
2059 -C cluster0.cpu0.RVBAR=0x04002000 \
2060 -C cluster0.cpu1.RVBAR=0x04002000 \
2061 -C cluster0.cpu2.RVBAR=0x04002000 \
2062 -C cluster0.cpu3.RVBAR=0x04002000 \
2063 -C cluster1.cpu0.RVBAR=0x04002000 \
2064 -C cluster1.cpu1.RVBAR=0x04002000 \
2065 -C cluster1.cpu2.RVBAR=0x04002000 \
2066 -C cluster1.cpu3.RVBAR=0x04002000 \
2067 --data cluster0.cpu0="<path-to>/<bl32-binary>"@0x04002000 \
2068 --data cluster0.cpu0="<path-to>/<bl33-binary>"@0x88000000 \
2069 --data cluster0.cpu0="<path-to>/<fdt>"@0x82000000 \
2070 --data cluster0.cpu0="<path-to>/<kernel-binary>"@0x80080000 \
2071 --data cluster0.cpu0="<path-to>/<ramdisk>"@0x84000000
2072
2073 .. note::
2074 The load address of ``<bl32-binary>`` depends on the value ``BL32_BASE``.
2075 It should match the address programmed into the RVBAR register as well.
2076
2077 Running on the Cortex-A57-A53 Base FVP with reset to BL31 entrypoint
2078 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2079
2080 The following ``FVP_Base_Cortex-A57x4-A53x4`` model parameters should be used to
2081 boot Linux with 8 CPUs using the AArch64 build of TF-A.
2082
2083 .. code:: shell
2084
2085 <path-to>/FVP_Base_Cortex-A57x4-A53x4 \
2086 -C pctl.startup=0.0.0.0 \
2087 -C bp.secure_memory=1 \
2088 -C bp.tzc_400.diagnostics=1 \
2089 -C cache_state_modelled=1 \
2090 -C cluster0.cpu0.RVBARADDR=0x04010000 \
2091 -C cluster0.cpu1.RVBARADDR=0x04010000 \
2092 -C cluster0.cpu2.RVBARADDR=0x04010000 \
2093 -C cluster0.cpu3.RVBARADDR=0x04010000 \
2094 -C cluster1.cpu0.RVBARADDR=0x04010000 \
2095 -C cluster1.cpu1.RVBARADDR=0x04010000 \
2096 -C cluster1.cpu2.RVBARADDR=0x04010000 \
2097 -C cluster1.cpu3.RVBARADDR=0x04010000 \
2098 --data cluster0.cpu0="<path-to>/<bl31-binary>"@0x04010000 \
2099 --data cluster0.cpu0="<path-to>/<bl32-binary>"@0xff000000 \
2100 --data cluster0.cpu0="<path-to>/<bl33-binary>"@0x88000000 \
2101 --data cluster0.cpu0="<path-to>/<fdt>"@0x82000000 \
2102 --data cluster0.cpu0="<path-to>/<kernel-binary>"@0x80080000 \
2103 --data cluster0.cpu0="<path-to>/<ramdisk>"@0x84000000
2104
2105 Running on the Cortex-A32 Base FVP (AArch32) with reset to SP_MIN entrypoint
2106 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2107
2108 The following ``FVP_Base_Cortex-A32x4`` model parameters should be used to
2109 boot Linux with 4 CPUs using the AArch32 build of TF-A.
2110
2111 .. code:: shell
2112
2113 <path-to>/FVP_Base_Cortex-A32x4 \
2114 -C pctl.startup=0.0.0.0 \
2115 -C bp.secure_memory=1 \
2116 -C bp.tzc_400.diagnostics=1 \
2117 -C cache_state_modelled=1 \
2118 -C cluster0.cpu0.RVBARADDR=0x04002000 \
2119 -C cluster0.cpu1.RVBARADDR=0x04002000 \
2120 -C cluster0.cpu2.RVBARADDR=0x04002000 \
2121 -C cluster0.cpu3.RVBARADDR=0x04002000 \
2122 --data cluster0.cpu0="<path-to>/<bl32-binary>"@0x04002000 \
2123 --data cluster0.cpu0="<path-to>/<bl33-binary>"@0x88000000 \
2124 --data cluster0.cpu0="<path-to>/<fdt>"@0x82000000 \
2125 --data cluster0.cpu0="<path-to>/<kernel-binary>"@0x80080000 \
2126 --data cluster0.cpu0="<path-to>/<ramdisk>"@0x84000000
2127
2128 Running the software on Juno
2129 ----------------------------
2130
2131 This version of TF-A has been tested on variants r0, r1 and r2 of Juno.
2132
2133 To execute the software stack on Juno, the version of the Juno board recovery
2134 image indicated in the `Linaro Release Notes`_ must be installed. If you have an
2135 earlier version installed or are unsure which version is installed, please
2136 re-install the recovery image by following the
2137 `Instructions for using Linaro's deliverables on Juno`_.
2138
2139 Preparing TF-A images
2140 ~~~~~~~~~~~~~~~~~~~~~
2141
2142 After building TF-A, the files ``bl1.bin`` and ``fip.bin`` need copying to the
2143 ``SOFTWARE/`` directory of the Juno SD card.
2144
2145 Other Juno software information
2146 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2147
2148 Please visit the `Arm Platforms Portal`_ to get support and obtain any other Juno
2149 software information. Please also refer to the `Juno Getting Started Guide`_ to
2150 get more detailed information about the Juno Arm development platform and how to
2151 configure it.
2152
2153 Testing SYSTEM SUSPEND on Juno
2154 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
2155
2156 The SYSTEM SUSPEND is a PSCI API which can be used to implement system suspend
2157 to RAM. For more details refer to section 5.16 of `PSCI`_. To test system suspend
2158 on Juno, at the linux shell prompt, issue the following command:
2159
2160 .. code:: shell
2161
2162 echo +10 > /sys/class/rtc/rtc0/wakealarm
2163 echo -n mem > /sys/power/state
2164
2165 The Juno board should suspend to RAM and then wakeup after 10 seconds due to
2166 wakeup interrupt from RTC.
2167
2168 --------------
2169
2170 *Copyright (c) 2013-2019, Arm Limited and Contributors. All rights reserved.*
2171
2172 .. _arm Developer page: https://developer.arm.com/open-source/gnu-toolchain/gnu-a/downloads
2173 .. _Linaro: `Linaro Release Notes`_
2174 .. _Linaro Release: `Linaro Release Notes`_
2175 .. _Linaro Release Notes: https://community.arm.com/dev-platforms/w/docs/226/old-release-notes
2176 .. _Linaro instructions: https://community.arm.com/dev-platforms/w/docs/304/arm-reference-platforms-deliverables
2177 .. _Instructions for using Linaro's deliverables on Juno: https://community.arm.com/dev-platforms/w/docs/303/juno
2178 .. _Arm Platforms Portal: https://community.arm.com/dev-platforms/
2179 .. _Development Studio 5 (DS-5): https://developer.arm.com/products/software-development-tools/ds-5-development-studio
2180 .. _arm-trusted-firmware-a project page: https://review.trustedfirmware.org/admin/projects/TF-A/trusted-firmware-a
2181 .. _`Linux Coding Style`: https://www.kernel.org/doc/html/latest/process/coding-style.html
2182 .. _Linux master tree: https://github.com/torvalds/linux/tree/master/
2183 .. _Dia: https://wiki.gnome.org/Apps/Dia/Download
2184 .. _here: psci-lib-integration-guide.rst
2185 .. _Trusted Board Boot: ../design/trusted-board-boot.rst
2186 .. _TB_FW_CONFIG for FVP: ../../plat/arm/board/fvp/fdts/fvp_tb_fw_config.dts
2187 .. _Secure-EL1 Payloads and Dispatchers: ../design/firmware-design.rst#user-content-secure-el1-payloads-and-dispatchers
2188 .. _Firmware Update: ../components/firmware-update.rst
2189 .. _Firmware Design: ../design/firmware-design.rst
2190 .. _mbed TLS Repository: https://github.com/ARMmbed/mbedtls.git
2191 .. _mbed TLS Security Center: https://tls.mbed.org/security
2192 .. _Arm's website: `FVP models`_
2193 .. _FVP models: https://developer.arm.com/products/system-design/fixed-virtual-platforms
2194 .. _Juno Getting Started Guide: http://infocenter.arm.com/help/topic/com.arm.doc.dui0928e/DUI0928E_juno_arm_development_platform_gsg.pdf
2195 .. _PSCI: http://infocenter.arm.com/help/topic/com.arm.doc.den0022d/Power_State_Coordination_Interface_PDD_v1_1_DEN0022D.pdf
2196 .. _Secure Partition Manager Design guide: ../components/secure-partition-manager-design.rst
2197 .. _`Trusted Firmware-A Coding Guidelines`: ../process/coding-guidelines.rst
2198 .. _Library at ROM: ../components/romlib-design.rst