X-Git-Url: http://git.openwrt.org/?p=openwrt%2Fopenwrt.git;a=blobdiff_plain;f=target%2Flinux%2Framips%2Fbase-files%2Fetc%2Fdiag.sh;h=c6e600424500b06b4c8218a3fde8ffae9da4f922;hp=a82e1ce34c6ee9e5e8f59e0abaa5871da1887c6d;hb=43de7c1cfa8b6afd15c226676ec64a0fa765fc6b;hpb=c7216d0cab295b2bf18f63b7b05d202bb5d68ee6 diff --git a/target/linux/ramips/base-files/etc/diag.sh b/target/linux/ramips/base-files/etc/diag.sh index a82e1ce34c..c6e6004245 100755 --- a/target/linux/ramips/base-files/etc/diag.sh +++ b/target/linux/ramips/base-files/etc/diag.sh @@ -15,6 +15,9 @@ get_status_led() { argus-atp52b) status_led="argus-atp52b:green:run" ;; + asl26555) + status_led="asl26555:green:power" + ;; br6524n) status_led="edimax:blue:power" ;; @@ -24,7 +27,7 @@ get_status_led() { d105) status_led="d105:red:power" ;; - dir-300-b1 | dir-600-b1 | dir-600-b2 | dir-615-h1 | dir-615-d | dir-620-a1| dir-620-d1) + dcs-930 | dir-300-b1 | dir-600-b1 | dir-600-b2 | dir-610-a1 | dir-615-h1 | dir-615-d | dir-620-a1| dir-620-d1| dir-300-b7| dir-320-b1) status_led="d-link:green:status" ;; dir-645) @@ -42,6 +45,9 @@ get_status_led() { fonera20n) status_led="fonera20n:green:power" ;; + ip2202) + status_led="ip2202:green:run" + ;; rt-n13u) status_led="rt-n13u:power" ;; @@ -49,9 +55,21 @@ get_status_led() { hw550-3g) status_led="hw550-3g:green:status" ;; + m3) + status_led="m3:blue:status" + ;; + m4) + status_led="m4:blue:status" + ;; mofi3500-3gn) status_led="mofi3500-3gn:green:status" ;; + mpr-a1) + status_led="mpr-a1:red:power" + ;; + mpr-a2) + status_led="mpr-a2:red:power" + ;; nbg-419n) status_led="nbg-419n:green:power" ;; @@ -102,6 +120,12 @@ get_status_led() { whr-g300n) status_led="whr-g300n:green:router" ;; + whr-300hp2) + status_led="buffalo:green:status" + ;; + whr-600d) + status_led="buffalo:green:status" + ;; wli-tx4-ag300n) status_led="buffalo:blue:power" ;; @@ -123,9 +147,18 @@ get_status_led() { ur-336un) status_led="ur336:green:wps" ;; + x5) + status_led="x5:green:power" + ;; + x8) + status_led="x8:green:power" + ;; xdxrn502j) status_led="xdxrn502j:green:power" ;; + f7c027) + status_led="belkin:orange:status" + ;; esac } @@ -134,7 +167,6 @@ set_state() { case "$1" in preinit) - insmod leds-gpio 2> /dev/null status_led_blink_preinit ;; failsafe)