ath9k_htc: fix decryption errors
[openwrt/staging/chunkeey.git] / package / kernel / mac80211 / patches / 300-pending_work.patch
index 5beb4405d378b25cb8a324c13e74f83948ad65b7..78e3d7cb22e985975970774e1a27d883f8940662 100644 (file)
---- a/net/mac80211/agg-rx.c
-+++ b/net/mac80211/agg-rx.c
-@@ -204,6 +204,8 @@ static void ieee80211_send_addba_resp(st
-               memcpy(mgmt->bssid, sdata->u.mgd.bssid, ETH_ALEN);
-       else if (sdata->vif.type == NL80211_IFTYPE_ADHOC)
-               memcpy(mgmt->bssid, sdata->u.ibss.bssid, ETH_ALEN);
-+      else if (sdata->vif.type == NL80211_IFTYPE_WDS)
-+              memcpy(mgmt->bssid, da, ETH_ALEN);
-       mgmt->frame_control = cpu_to_le16(IEEE80211_FTYPE_MGMT |
-                                         IEEE80211_STYPE_ACTION);
---- a/net/mac80211/agg-tx.c
-+++ b/net/mac80211/agg-tx.c
-@@ -81,7 +81,8 @@ static void ieee80211_send_addba_request
-       memcpy(mgmt->sa, sdata->vif.addr, ETH_ALEN);
-       if (sdata->vif.type == NL80211_IFTYPE_AP ||
-           sdata->vif.type == NL80211_IFTYPE_AP_VLAN ||
--          sdata->vif.type == NL80211_IFTYPE_MESH_POINT)
-+          sdata->vif.type == NL80211_IFTYPE_MESH_POINT ||
-+          sdata->vif.type == NL80211_IFTYPE_WDS)
-               memcpy(mgmt->bssid, sdata->vif.addr, ETH_ALEN);
-       else if (sdata->vif.type == NL80211_IFTYPE_STATION)
-               memcpy(mgmt->bssid, sdata->u.mgd.bssid, ETH_ALEN);
-@@ -527,6 +528,7 @@ int ieee80211_start_tx_ba_session(struct
-           sdata->vif.type != NL80211_IFTYPE_MESH_POINT &&
-           sdata->vif.type != NL80211_IFTYPE_AP_VLAN &&
-           sdata->vif.type != NL80211_IFTYPE_AP &&
-+          sdata->vif.type != NL80211_IFTYPE_WDS &&
-           sdata->vif.type != NL80211_IFTYPE_ADHOC)
-               return -EINVAL;
+commit fb77a822cc68745186b38db7d105ad97e0622152
+Author: Johannes Stezenbach <js@sig21.net>
+Date:   Fri Sep 12 22:36:51 2014 +0200
+
+    ath9k_htc: fix random decryption failure
+    
+    In v3.15 the driver stopped to accept network packets after successful
+    authentification, which could be worked around by passing the
+    nohwcrypt=1 module parameter.  This was not reproducible by
+    everyone, and showed random behaviour in some tests.
+    It was caused by an uninitialized variable introduced
+    in 4ed1a8d4a257 ("ath9k_htc: use ath9k_cmn_rx_accept") and
+    used in 341b29b9cd2f ("ath9k_htc: use ath9k_cmn_rx_skb_postprocess").
+    
+    Bugzilla: https://bugzilla.kernel.org/show_bug.cgi?id=78581
+    Fixes: 341b29b9cd2f ("ath9k_htc: use ath9k_cmn_rx_skb_postprocess")
+    Signed-off-by: Johannes Stezenbach <js@sig21.net>
+
+commit 11f17631d9bf2a9e910dac7d09ba4581f5693831
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Tue Sep 9 09:48:30 2014 +0200
+
+    ath9k_hw: fix PLL clock initialization for newer SoC
+    
+    On AR934x and newer SoC devices, the layout of the AR_RTC_PLL_CONTROL
+    register changed. This currently breaks at least 5/10 MHz operation.
+    AR933x uses the old layout.
+    
+    It might also have been causing other stability issues because of the
+    different location of the PLL_BYPASS bit which needs to be set during
+    PLL clock initialization.
+    
+    This patch also removes more instances of hardcoded register values in
+    favor of properly computed ones with the PLL_BYPASS bit added.
+    
+    Reported-by: Lorenzo Bianconi <lorenzo.bianconi83@gmail.com>
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+commit 0fecedddd4a0945873db1bd230ec6a168b3cc4fe
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Mon Sep 8 18:35:08 2014 +0200
+
+    ath9k_hw: reduce ANI spur immunity setting on HT40 extension channel
+    
+    The cycpwr_thr1 value needs to be lower on the extension channel than on
+    the control channel, similar to how the register settings are programmed
+    in the initvals.
+    
+    Also drop the unnecessary check for HT40 - this register can always be
+    written. This patch has been reported to improve HT40 stability and
+    throughput in some environments.
+    
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+commit 30d7434ccb853b96de698a040888fa4dacd0cc19
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Mon Sep 8 18:31:26 2014 +0200
+
+    Revert "ath9k_hw: reduce ANI firstep range for older chips"
+    
+    This reverts commit 09efc56345be4146ab9fc87a55c837ed5d6ea1ab
+    
+    I've received reports that this change is decreasing throughput in some
+    rare conditions on an AR9280 based device
+    
+    Cc: stable@vger.kernel.org
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+commit 15ed54948f508ad1baad79c30050e2d29a21696d
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Fri Jul 25 16:18:03 2014 +0200
+
+    mac80211: fix smps mode check for AP_VLAN
+    
+    In ieee80211_sta_ps_deliver_wakeup, sdata->smps_mode is checked. This is
+    initialized only for the base AP interface, not the individual VLANs.
+    
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+commit bc74ad816bba291359ae46301173ea744bdda9d2
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Fri Jul 25 16:15:44 2014 +0200
+
+    mac80211: ignore AP_VLAN in ieee80211_recalc_chanctx_chantype
+    
+    When bringing down the AP, a WARN_ON is hit because the bss config chandef
+    is empty here.
+    Since AP_VLAN channel settings do not matter for anything chanctx related
+    (always inherits the settings from the AP interface), let's just ignore
+    it here.
+    
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+commit ff354dbdd743e5fe186df8cd17982db19f78231a
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Wed Jul 23 15:33:26 2014 +0200
+
+    ath9k: fix aggregation session lockup
+    
+    If an aggregation session fails, frames still end up in the driver queue
+    with IEEE80211_TX_CTL_AMPDU set.
+    This causes tx for the affected station/tid to stall, since
+    ath_tx_get_tid_subframe returning packets to send.
+    
+    Fix this by clearing IEEE80211_TX_CTL_AMPDU as long as no aggregation
+    session is running.
+    
+    Cc: stable@vger.kernel.org
+    Reported-by: Antonio Quartulli <antonio@open-mesh.com>
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+commit 38695a6e5a940e6a524523b88a33916b016fb2a1
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Fri Jul 11 12:06:18 2014 +0200
+
+    mac80211: fix crash on getting sta info with uninitialized rate control
+    
+    If the expected throughput is queried before rate control has been
+    initialized, the minstrel op for it will crash while trying to access
+    the rate table.
+    Check for WLAN_STA_RATE_CONTROL before attempting to use the rate
+    control op.
+    
+    Reported-by: Jean-Pierre Tosoni <jp.tosoni@acksys.fr>
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+commit c0ee7fa4c0da824ccccc172bf175fb1f86540921
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Wed Jul 16 18:00:31 2014 +0200
+
+    ath9k: fix pending tx frames accounting
+    
+    Packets originally buffered for the regular hardware tx queues can end
+    up being transmitted through the U-APSD queue (via PS-Poll or U-APSD).
+    When packets are dropped due to retransmit failures, the pending frames
+    counter is not always updated properly.
+    Fix this by keeping track of the queue that a frame was accounted for in
+    the ath_frame_info struct, and using that on completion to decide
+    whether the counter should be updated.
+    This fixes some spurious transmit queue hangs.
+    
+    Cc: stable@vger.kernel.org
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+commit edcdf0989410a05a6a4b8438df4010447eaa7d9a
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Sun Jun 22 13:36:20 2014 +0200
+
+    Revert "cfg80211: Use 5MHz bandwidth by default when checking usable channels"
+    
+    It enables channels that are not supposed to be enabled according to the
+    regulatory rules.
+    
+    This reverts commit 8eca1fb692cc9557f386eddce75c300a3855d11a.
+
+commit 6e7341074823d2a45b81f2742cbf75f1da790031
+Author: Rafał Miłecki <zajec5@gmail.com>
+Date:   Sat May 31 19:40:45 2014 +0200
+
+    b43: disable 5 GHz on G-PHY
+    
+    This fixes regression introduced by adding some G-PHY devices to the
+    list of dual band devices. There is simply no support for 5 GHz on
+    G-PHY devices in b43. It results in:
+    WARNING: CPU: 0 PID: 79 at drivers/net/wireless/b43/phy_g.c:75 b43_gphy_channel_switch+0x125/0x130 [b43]()
+    b43-phy1 ERROR: PHY init: Channel switch to default failed
+    
+    Regression was introduced by the following commit:
+    
+    commit 773cfc508f4d64c14547ff8751b5cbd473124364
+    Author: Rafał Miłecki <zajec5@gmail.com>
+    Date:   Mon May 19 23:18:55 2014 +0200
+    
+        b43: add more devices to the bands database
+    
+    Signed-off-by: Rafał Miłecki <zajec5@gmail.com>
+    Signed-off-by: John W. Linville <linville@tuxdriver.com>
+
+commit 1186edbef91f15722e5bdf56326ce0abc2935ce7
+Author: Stanislaw Gruszka <sgruszka@redhat.com>
+Date:   Tue Jun 10 12:51:06 2014 +0200
+
+    rt2x00: disable TKIP on USB
+    
+    On USB we can not get atomically TKIP key. We have to disable support
+    for TKIP acceleration on USB hardware to avoid bug as showed bellow.
+    
+    [  860.827243] BUG: scheduling while atomic: hostapd/3397/0x00000002
+    <snip>
+    [  860.827280] Call Trace:
+    [  860.827282]  [<ffffffff81682ea6>] dump_stack+0x4d/0x66
+    [  860.827284]  [<ffffffff8167eb9b>] __schedule_bug+0x47/0x55
+    [  860.827285]  [<ffffffff81685bb3>] __schedule+0x733/0x7b0
+    [  860.827287]  [<ffffffff81685c59>] schedule+0x29/0x70
+    [  860.827289]  [<ffffffff81684f8a>] schedule_timeout+0x15a/0x2b0
+    [  860.827291]  [<ffffffff8105ac50>] ? ftrace_raw_event_tick_stop+0xc0/0xc0
+    [  860.827294]  [<ffffffff810c13c2>] ? __module_text_address+0x12/0x70
+    [  860.827296]  [<ffffffff81686823>] wait_for_completion_timeout+0xb3/0x140
+    [  860.827298]  [<ffffffff81080fc0>] ? wake_up_state+0x20/0x20
+    [  860.827301]  [<ffffffff814d5b3d>] usb_start_wait_urb+0x7d/0x150
+    [  860.827303]  [<ffffffff814d5cd5>] usb_control_msg+0xc5/0x110
+    [  860.827305]  [<ffffffffa02fb0c6>] rt2x00usb_vendor_request+0xc6/0x160  [rt2x00usb]
+    [  860.827307]  [<ffffffffa02fb215>] rt2x00usb_vendor_req_buff_lock+0x75/0x150 [rt2x00usb]
+    [  860.827309]  [<ffffffffa02fb393>] rt2x00usb_vendor_request_buff+0xa3/0xe0 [rt2x00usb]
+    [  860.827311]  [<ffffffffa023d1a3>] rt2x00usb_register_multiread+0x33/0x40 [rt2800usb]
+    [  860.827314]  [<ffffffffa05805f9>] rt2800_get_tkip_seq+0x39/0x50  [rt2800lib]
+    [  860.827321]  [<ffffffffa0480f88>] ieee80211_get_key+0x218/0x2a0  [mac80211]
+    [  860.827322]  [<ffffffff815cc68c>] ? __nlmsg_put+0x6c/0x80
+    [  860.827329]  [<ffffffffa051b02e>] nl80211_get_key+0x22e/0x360 [cfg80211]
+    
+    Cc: stable@vger.kernel.org
+    Reported-and-tested-by: Peter Wu <lekensteyn@gmail.com>
+    Reported-and-tested-by: Pontus Fuchs <pontus.fuchs@gmail.com>
+    Signed-off-by: Stanislaw Gruszka <sgruszka@redhat.com>
+    Signed-off-by: John W. Linville <linville@tuxdriver.com>
+
+commit 5f313a15da92dda80ac4c9a137bc42d7d0b49adf
+Author: Rafał Miłecki <zajec5@gmail.com>
+Date:   Thu Jun 12 09:28:38 2014 +0200
+
+    b43: fix frequency reported on G-PHY with /new/ firmware
+
+commit d3a58df87a2e4c2301ac843604202d290a48440b
+Author: Avraham Stern <avraham.stern@intel.com>
+Date:   Thu May 22 12:17:47 2014 +0300
+
+    mac80211: set new interfaces as idle upon init
+    
+    Mark new interfaces as idle to allow operations that require that
+    interfaces are idle to take place. Interface types that are always
+    not idle (like AP interfaces) will be set as not idle when they are
+    assigned a channel context.
+    
+    Signed-off-by: Avraham Stern <avraham.stern@intel.com>
+    Signed-off-by: Emmanuel Grumbach<emmanuel.grumbach@intel.com>
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit 923eaf367206e01f22c97aee22300e332d071916
+Author: Arik Nemtsov <arik@wizery.com>
+Date:   Mon May 26 14:40:51 2014 +0300
+
+    mac80211: don't check netdev state for debugfs read/write
+    
+    Doing so will lead to an oops for a p2p-dev interface, since it has
+    no netdev.
+    
+    Cc: stable@vger.kernel.org
+    Signed-off-by: Arik Nemtsov <arikx.nemtsov@intel.com>
+    Signed-off-by: Emmanuel Grumbach <emmanuel.grumbach@intel.com>
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit a9fb54169b197f31aff24c8d6270dd1e56cde395
+Author: chaitanya.mgit@gmail.com <chaitanya.mgit@gmail.com>
+Date:   Mon May 26 18:01:44 2014 +0530
+
+    regdb: Generalize the mW to dBm power conversion
+    
+    Generalize the power conversion from mW to dBm
+    using log. This should fix the below compilation
+    error for country NO which adds a new power value
+    2000mW which is not handled earlier.
+    
+     CC [M]  net/wireless/wext-sme.o
+     CC [M]  net/wireless/regdb.o
+    net/wireless/regdb.c:1130:1: error: Unknown undeclared here (not in
+    a function)
+    net/wireless/regdb.c:1130:9: error: expected } before power
+    make[2]: *** [net/wireless/regdb.o] Error 1
+    make[1]: *** [net/wireless] Error 2
+    make: *** [net] Error 2
+    
+    Reported-By:  John Walker <john@x109.net>
+    Signed-off-by: Chaitanya T K <chaitanya.mgit@gmail.com>
+    Acked-by: John W. Linville <linville@tuxdriver.com>
+    [remove unneeded parentheses, fix rounding by using %.0f]
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit c7d37a66e345df2fdf1aa7b2c9a6d3d53846ca5b
+Author: Krzysztof Hałasa <khalasa@piap.pl>
+Date:   Mon May 26 14:14:46 2014 +0200
+
+    mac80211: fix IBSS join by initializing last_scan_completed
+    
+    Without this fix, freshly rebooted Linux creates a new IBSS
+    instead of joining an existing one. Only when jiffies counter
+    overflows after 5 minutes the IBSS can be successfully joined.
+    
+    Signed-off-by: Krzysztof Hałasa <khalasa@piap.pl>
+    [edit commit message slightly]
+    Cc: stable@vger.kernel.org
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit 34171dc0d623be2c1032416bf7d3819f388ed70d
+Author: Emmanuel Grumbach <emmanuel.grumbach@intel.com>
+Date:   Sun May 25 15:35:41 2014 +0300
+
+    mac80211: fix virtual monitor interface addition
+    
+    Since the commit below, cfg80211_chandef_dfs_required()
+    will warn if it gets a an NL80211_IFTYPE_UNSPECIFIED iftype
+    as explicitely written in the commit log.
+    When an virtual monitor interface is added, its type is set
+    in ieee80211_sub_if_data.vif.type, but not in
+    ieee80211_sub_if_data.wdev.iftype which is passed to
+    cfg80211_chandef_dfs_required() hence resulting in the
+    following warning:
+    
+    WARNING: CPU: 1 PID: 21265 at net/wireless/chan.c:376 cfg80211_chandef_dfs_required+0xbc/0x130 [cfg80211]()
+    Modules linked in: [...]
+    CPU: 1 PID: 21265 Comm: ifconfig Tainted: G        W  O 3.13.11+ #12
+    Hardware name: Dell Inc. Latitude E6410/0667CC, BIOS A01 03/05/2010
+     0000000000000009 ffff88008f5fdb08 ffffffff817d4219 ffff88008f5fdb50
+     ffff88008f5fdb40 ffffffff8106f57d 0000000000000000 0000000000000000
+     ffff880081062fb8 ffff8800810604e0 0000000000000001 ffff88008f5fdba0
+    Call Trace:
+     [<ffffffff817d4219>] dump_stack+0x4d/0x66
+     [<ffffffff8106f57d>] warn_slowpath_common+0x7d/0xa0
+     [<ffffffff8106f5ec>] warn_slowpath_fmt+0x4c/0x50
+     [<ffffffffa04ea4ec>] cfg80211_chandef_dfs_required+0xbc/0x130 [cfg80211]
+     [<ffffffffa06b1024>] ieee80211_vif_use_channel+0x94/0x500 [mac80211]
+     [<ffffffffa0684e6b>] ieee80211_add_virtual_monitor+0x1ab/0x5c0 [mac80211]
+     [<ffffffffa0686ae5>] ieee80211_do_open+0xe75/0x1580 [mac80211]
+     [<ffffffffa0687259>] ieee80211_open+0x69/0x70 [mac80211]
+    [snip]
+    
+    Fixes: 00ec75fc5a64 ("cfg80211: pass the actual iftype when calling cfg80211_chandef_dfs_required()")
+    Signed-off-by: Emmanuel Grumbach <emmanuel.grumbach@intel.com>
+    Acked-by: Luciano Coelho <luciano.coelho@intel.com>
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit d93cc72b37b4e2c314e1c499e80e8801907c2fea
+Author: Michal Kazior <michal.kazior@tieto.com>
+Date:   Thu Jun 5 14:21:37 2014 +0200
+
+    mac80211: use csa counter offsets instead of csa_active
+    
+    vif->csa_active is protected by mutexes only. This
+    means it is unreliable to depend on it on codeflow
+    in non-sleepable beacon and CSA code. There was no
+    guarantee to have vif->csa_active update be
+    visible before beacons are updated on SMP systems.
+    
+    Using csa counter offsets which are embedded in
+    beacon struct (and thus are protected with single
+    RCU assignment) is much safer.
+    
+    Signed-off-by: Michal Kazior <michal.kazior@tieto.com>
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit d2746694fcdef24e0a7a1947d8c70082cde81a26
+Author: Michal Kazior <michal.kazior@tieto.com>
+Date:   Thu Jun 5 14:21:36 2014 +0200
+
+    mac80211: move csa counters from sdata to beacon/presp
+    
+    Having csa counters part of beacon and probe_resp
+    structures makes it easier to get rid of possible
+    races between setting a beacon and updating
+    counters on SMP systems by guaranteeing counters
+    are always consistent against given beacon struct.
+    
+    While at it relax WARN_ON into WARN_ON_ONCE to
+    prevent spamming logs and racing.
+    
+    Signed-off-by: Michal Kazior <michal.kazior@tieto.com>
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit 5dcb54f3a1a8cd7e0331e773487574f9743615db
+Author: Janusz Dziedzic <janusz.dziedzic@tieto.com>
+Date:   Thu Jun 5 08:12:57 2014 +0200
+
+    mac80211: allow tx via monitor iface when DFS
+    
+    Allow send frames using monitor interface
+    when DFS chandef and we pass CAC (beaconing
+    allowed).
+    
+    This fix problem when old kernel and new backports used,
+    in such case hostapd create/use also monitor interface.
+    Before this patch all frames hostapd send using monitor
+    iface were dropped when AP was configured on DFS channel.
+    
+    Signed-off-by: Janusz Dziedzic <janusz.dziedzic@tieto.com>
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit 6f09a1beb0d2007572248c986780562219bd206f
+Author: Johannes Berg <johannes.berg@intel.com>
+Date:   Wed Jun 4 17:31:56 2014 +0200
+
+    cfg80211: make ethtool the driver's responsibility
+    
+    Currently, cfg80211 tries to implement ethtool, but that doesn't
+    really scale well, with all the different operations. Make the
+    lower-level driver responsible for it, which currently only has
+    an effect on mac80211. It will similarly not scale well at that
+    level though, since mac80211 also has many drivers.
+    
+    To cleanly implement this in mac80211, introduce a new file and
+    move some code to appropriate places.
+    
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit 6b0c6f133de8f90caeb1c4a902e6140567c5bf96
+Author: Johannes Berg <johannes.berg@intel.com>
+Date:   Wed Jun 4 17:06:23 2014 +0200
+
+    mac80211: remove weak WEP IV accounting
+    
+    Since WEP is practically dead, there seems very little
+    point in keeping WEP weak IV accounting.
+    
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit aecdc89fb4664c76baa4bbd46008f220532309ff
+Author: Luciano Coelho <luciano.coelho@intel.com>
+Date:   Fri May 23 11:04:50 2014 +0300
+
+    ath9k/ath10k: remove unnecessary channel_switch_beacon callbacks
+    
+    The channel_switch_beacon callback is optional, so it doesn't have to
+    be defined if it's not going to do anything useful with it.  Both
+    ath9k and ath10k define the callback and just returns.  This commit
+    removes them.
+    
+    Cc: Michal Kazior <michal.kazior@tieto.com>
+    Signed-off-by: Luciano Coelho <luciano.coelho@intel.com>
+    Signed-off-by: Kalle Valo <kvalo@qca.qualcomm.com>
+
+commit 60ccc107c9b9fb732fdee1f76bb2dad44f0e1798
+Author: Rajkumar Manoharan <rmanohar@qti.qualcomm.com>
+Date:   Tue May 27 16:58:02 2014 +0530
+
+    ath9k: Fix deadlock while updating p2p beacon timer
+    
+    pm_lock is taken twice while syncing HW TSF of p2p vif.
+    Fix this by taking the lock at caller side.
+    
+    Cc: Felix Fietkau <nbd@openwrt.org>
+    Signed-off-by: Rajkumar Manoharan <rmanohar@qti.qualcomm.com>
+    Signed-off-by: John W. Linville <linville@tuxdriver.com>
+
+commit f3831a4e3903dbc1a57d5df56deb6a143fd001bc
+Author: Stanislaw Gruszka <sgruszka@redhat.com>
+Date:   Thu Jun 5 13:52:27 2014 +0200
+
+    rt2x00: do not initialize BCN_OFFSET registers
+    
+    We setup BCN_OFFSET{0,1} registers dynamically, don't have to
+    initialize them.
+    
+    Signed-off-by: Stanislaw Gruszka <sgruszka@redhat.com>
+
+commit e5c58ca7a48d4c82f282749a978052c47fd95998
+Author: Stanislaw Gruszka <sgruszka@redhat.com>
+Date:   Thu Jun 5 13:52:26 2014 +0200
+
+    rt2x00: change order when stop beaconing
+    
+    When no beaconing is needed, first stop beacon queue (disable beaconing
+    globally) to avoid possible sending of not prepared beacon on short
+    period after clearing beacon and before stop of BCN queue.
+    
+    Signed-off-by: Stanislaw Gruszka <sgruszka@redhat.com>
+
+commit 382c1b9e03f52d0cd741ef1d942cad0f649f0744
+Author: Stanislaw Gruszka <sgruszka@redhat.com>
+Date:   Thu Jun 5 13:52:25 2014 +0200
+
+    rt2x00: change default MAC_BSSID_DW1_BSS_BCN_NUM
+    
+    We setup MAC_BSSID_DW1_BSS_BCN_NUM dynamically when numbers of active
+    beacons increase. Change default to 0 to tell hardware that we want to
+    send only one beacon as default.
+    
+    Signed-off-by: Stanislaw Gruszka <sgruszka@redhat.com>
+
+commit 3b400571dd033e46fa7e76c5bb92a3ce8198afa9
+Author: Stanislaw Gruszka <sgruszka@redhat.com>
+Date:   Thu Jun 5 13:52:24 2014 +0200
+
+    rt2x00: change beaconing setup on RT2800
+    
+    As reported by Matthias, on 5572 chip, even if we clear up TXWI
+    of corresponding beacon, hardware still try to send it or do other
+    action that increase power consumption peak up to 1A.
+    
+    To avoid the issue, setup beaconing dynamically by configuring offsets
+    of currently active beacons and MAC_BSSID_DW1_BSS_BCN_NUM variable,
+    which limit number of beacons that hardware will try to send.
+    
+    Reported-by: Matthias Fend <Matthias.Fend@wolfvision.net>
+    Signed-off-by: Stanislaw Gruszka <sgruszka@redhat.com>
+
+commit 916e591b2cc41f7e572992175ca56d866d7bc958
+Author: Stanislaw Gruszka <sgruszka@redhat.com>
+Date:   Thu Jun 5 13:52:23 2014 +0200
+
+    rt2x00: change beaconing locking
+    
+    This patch is needed for further changes to keep global variables
+    consistent when changing beaconing on diffrent vif's.
+    
+    Signed-off-by: Stanislaw Gruszka <sgruszka@redhat.com>
+
+commit 930b0dffd1731f3f418f9132faea720a23b7af61
+Author: Johannes Berg <johannes.berg@intel.com>
+Date:   Tue Jun 3 11:18:47 2014 +0200
+
+    mac80211: fix station/driver powersave race
+    
+    It is currently possible to have a race due to the station PS
+    unblock work like this:
+     * station goes to sleep with frames buffered in the driver
+     * driver blocks wakeup
+     * station wakes up again
+     * driver flushes/returns frames, and unblocks, which schedules
+       the unblock work
+     * unblock work starts to run, and checks that the station is
+       awake (i.e. that the WLAN_STA_PS_STA flag isn't set)
+     * we process a received frame with PM=1, setting the flag again
+     * ieee80211_sta_ps_deliver_wakeup() runs, delivering all frames
+       to the driver, and then clearing the WLAN_STA_PS_DRIVER and
+       WLAN_STA_PS_STA flags
+    
+    In this scenario, mac80211 will think that the station is awake,
+    while it really is asleep, and any TX'ed frames should be filtered
+    by the device (it will know that the station is sleeping) but then
+    passed to mac80211 again, which will not buffer it either as it
+    thinks the station is awake, and eventually the packets will be
+    dropped.
+    
+    Fix this by moving the clearing of the flags to exactly where we
+    learn about the situation. This creates a problem of reordering,
+    so introduce another flag indicating that delivery is being done,
+    this new flag also queues frames and is cleared only while the
+    spinlock is held (which the queuing code also holds) so that any
+    concurrent delivery/TX is handled correctly.
+    
+    Reported-by: Andrei Otcheretianski <andrei.otcheretianski@intel.com>
+    Signed-off-by: Johannes Berg <johannes.berg@intel.com>
+
+commit 6df35206bc6c1c6aad1d8077df5786b4a7f77873
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Fri May 23 19:58:14 2014 +0200
+
+    mac80211: reduce packet loss notifications under load
+    
+    During strong signal fluctuations under high throughput, few consecutive
+    failed A-MPDU transmissions can easily trigger packet loss notification,
+    and thus (in AP mode) client disconnection.
+    
+    Reduce the number of false positives by checking the A-MPDU status flag
+    and treating a failed A-MPDU as a single packet.
+    
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+commit 7b7843a36fbcc568834404c7430ff895d8502131
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Fri May 23 19:26:32 2014 +0200
+
+    mac80211: fix a memory leak on sta rate selection table
+    
+    Cc: stable@vger.kernel.org
+    Reported-by: Christophe Prévotaux <cprevotaux@nltinc.com>
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+commit 96892d6aa0a153423070addf3070bc79578b3897
+Author: Felix Fietkau <nbd@openwrt.org>
+Date:   Mon May 19 21:20:49 2014 +0200
+
+    ath9k: avoid passing buffers to the hardware during flush
+    
+    The commit "ath9k: fix possible hang on flush" changed the receive code
+    to always link rx descriptors of processed frames, even when flushing.
+    In some cases, this leads to flushed rx buffers being passed to the
+    hardware while rx is already stopped.
+    
+    Signed-off-by: Felix Fietkau <nbd@openwrt.org>
+
+--- a/drivers/net/wireless/ath/ath9k/recv.c
++++ b/drivers/net/wireless/ath/ath9k/recv.c
+@@ -34,7 +34,8 @@ static inline bool ath9k_check_auto_slee
+  * buffer (or rx fifo). This can incorrectly acknowledge packets
+  * to a sender if last desc is self-linked.
+  */
+-static void ath_rx_buf_link(struct ath_softc *sc, struct ath_rxbuf *bf)
++static void ath_rx_buf_link(struct ath_softc *sc, struct ath_rxbuf *bf,
++                          bool flush)
+ {
+       struct ath_hw *ah = sc->sc_ah;
+       struct ath_common *common = ath9k_hw_common(ah);
+@@ -59,18 +60,19 @@ static void ath_rx_buf_link(struct ath_s
+                            common->rx_bufsize,
+                            0);
  
---- a/net/mac80211/debugfs_sta.c
-+++ b/net/mac80211/debugfs_sta.c
-@@ -66,11 +66,11 @@ static ssize_t sta_flags_read(struct fil
-       test_sta_flag(sta, WLAN_STA_##flg) ? #flg "\n" : ""
-       int res = scnprintf(buf, sizeof(buf),
--                          "%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s",
-+                          "%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s%s",
-                           TEST(AUTH), TEST(ASSOC), TEST(PS_STA),
-                           TEST(PS_DRIVER), TEST(AUTHORIZED),
-                           TEST(SHORT_PREAMBLE),
--                          TEST(WME), TEST(WDS), TEST(CLEAR_PS_FILT),
-+                          TEST(WME), TEST(CLEAR_PS_FILT),
-                           TEST(MFP), TEST(BLOCK_BA), TEST(PSPOLL),
-                           TEST(UAPSD), TEST(SP), TEST(TDLS_PEER),
-                           TEST(TDLS_PEER_AUTH), TEST(4ADDR_EVENT),
-@@ -455,6 +455,15 @@ void ieee80211_sta_debugfs_add(struct st
-       DEBUGFS_ADD_COUNTER(tx_retry_count, tx_retry_count);
-       DEBUGFS_ADD_COUNTER(wep_weak_iv_count, wep_weak_iv_count);
+-      if (sc->rx.rxlink == NULL)
+-              ath9k_hw_putrxbuf(ah, bf->bf_daddr);
+-      else
++      if (sc->rx.rxlink)
+               *sc->rx.rxlink = bf->bf_daddr;
++      else if (!flush)
++              ath9k_hw_putrxbuf(ah, bf->bf_daddr);
  
-+      if (sizeof(sta->driver_buffered_tids) == sizeof(u32))
-+              debugfs_create_x32("driver_buffered_tids", 0400,
-+                                 sta->debugfs.dir,
-+                                 (u32 *)&sta->driver_buffered_tids);
-+      else
-+              debugfs_create_x64("driver_buffered_tids", 0400,
-+                                 sta->debugfs.dir,
-+                                 (u64 *)&sta->driver_buffered_tids);
-+
-       drv_sta_add_debugfs(local, sdata, &sta->sta, sta->debugfs.dir);
+       sc->rx.rxlink = &ds->ds_link;
  }
  
---- a/net/mac80211/iface.c
-+++ b/net/mac80211/iface.c
-@@ -274,6 +274,12 @@ static int ieee80211_check_concurrent_if
-                       if (iftype == NL80211_IFTYPE_ADHOC &&
-                           nsdata->vif.type == NL80211_IFTYPE_ADHOC)
-                               return -EBUSY;
-+                      /*
-+                       * will not add another interface while any channel
-+                       * switch is active.
-+                       */
-+                      if (nsdata->vif.csa_active)
-+                              return -EBUSY;
-                       /*
-                        * The remaining checks are only performed for interfaces
-@@ -463,7 +469,6 @@ int ieee80211_do_open(struct wireless_de
-       struct ieee80211_sub_if_data *sdata = IEEE80211_WDEV_TO_SUB_IF(wdev);
-       struct net_device *dev = wdev->netdev;
-       struct ieee80211_local *local = sdata->local;
--      struct sta_info *sta;
-       u32 changed = 0;
-       int res;
-       u32 hw_reconf_flags = 0;
-@@ -629,30 +634,8 @@ int ieee80211_do_open(struct wireless_de
-       set_bit(SDATA_STATE_RUNNING, &sdata->state);
--      if (sdata->vif.type == NL80211_IFTYPE_WDS) {
--              /* Create STA entry for the WDS peer */
--              sta = sta_info_alloc(sdata, sdata->u.wds.remote_addr,
--                                   GFP_KERNEL);
--              if (!sta) {
--                      res = -ENOMEM;
--                      goto err_del_interface;
--              }
--
--              sta_info_pre_move_state(sta, IEEE80211_STA_AUTH);
--              sta_info_pre_move_state(sta, IEEE80211_STA_ASSOC);
--              sta_info_pre_move_state(sta, IEEE80211_STA_AUTHORIZED);
--
--              res = sta_info_insert(sta);
--              if (res) {
--                      /* STA has been freed */
--                      goto err_del_interface;
--              }
--
--              rate_control_rate_init(sta);
--              netif_carrier_on(dev);
--      } else if (sdata->vif.type == NL80211_IFTYPE_P2P_DEVICE) {
-+      if (sdata->vif.type == NL80211_IFTYPE_P2P_DEVICE)
-               rcu_assign_pointer(local->p2p_sdata, sdata);
--      }
+-static void ath_rx_buf_relink(struct ath_softc *sc, struct ath_rxbuf *bf)
++static void ath_rx_buf_relink(struct ath_softc *sc, struct ath_rxbuf *bf,
++                            bool flush)
+ {
+       if (sc->rx.buf_hold)
+-              ath_rx_buf_link(sc, sc->rx.buf_hold);
++              ath_rx_buf_link(sc, sc->rx.buf_hold, flush);
  
-       /*
-        * set_multicast_list will be invoked by the networking core
-@@ -809,6 +792,8 @@ static void ieee80211_do_stop(struct iee
-       cancel_work_sync(&local->dynamic_ps_enable_work);
+       sc->rx.buf_hold = bf;
+ }
+@@ -442,7 +444,7 @@ int ath_startrecv(struct ath_softc *sc)
+       sc->rx.buf_hold = NULL;
+       sc->rx.rxlink = NULL;
+       list_for_each_entry_safe(bf, tbf, &sc->rx.rxbuf, list) {
+-              ath_rx_buf_link(sc, bf);
++              ath_rx_buf_link(sc, bf, false);
+       }
  
-       cancel_work_sync(&sdata->recalc_smps);
-+      sdata->vif.csa_active = false;
-+      cancel_work_sync(&sdata->csa_finalize_work);
+       /* We could have deleted elements so the list may be empty now */
+@@ -1118,12 +1120,12 @@ requeue_drop_frag:
+ requeue:
+               list_add_tail(&bf->list, &sc->rx.rxbuf);
  
-       cancel_delayed_work_sync(&sdata->dfs_cac_timer_work);
+-              if (edma) {
+-                      ath_rx_edma_buf_link(sc, qtype);
+-              } else {
+-                      ath_rx_buf_relink(sc, bf);
++              if (!edma) {
++                      ath_rx_buf_relink(sc, bf, flush);
+                       if (!flush)
+                               ath9k_hw_rxena(ah);
++              } else if (!flush) {
++                      ath_rx_edma_buf_link(sc, qtype);
+               }
  
-@@ -1116,6 +1101,74 @@ static void ieee80211_if_setup(struct ne
-       dev->destructor = free_netdev;
- }
+               if (!budget--)
+--- a/net/mac80211/sta_info.c
++++ b/net/mac80211/sta_info.c
+@@ -100,7 +100,8 @@ static void __cleanup_single_sta(struct 
+       struct ps_data *ps;
+       if (test_sta_flag(sta, WLAN_STA_PS_STA) ||
+-          test_sta_flag(sta, WLAN_STA_PS_DRIVER)) {
++          test_sta_flag(sta, WLAN_STA_PS_DRIVER) ||
++          test_sta_flag(sta, WLAN_STA_PS_DELIVER)) {
+               if (sta->sdata->vif.type == NL80211_IFTYPE_AP ||
+                   sta->sdata->vif.type == NL80211_IFTYPE_AP_VLAN)
+                       ps = &sdata->bss->ps;
+@@ -111,6 +112,7 @@ static void __cleanup_single_sta(struct 
+               clear_sta_flag(sta, WLAN_STA_PS_STA);
+               clear_sta_flag(sta, WLAN_STA_PS_DRIVER);
++              clear_sta_flag(sta, WLAN_STA_PS_DELIVER);
+               atomic_dec(&ps->num_sta_ps);
+               sta_info_recalc_tim(sta);
+@@ -125,7 +127,7 @@ static void __cleanup_single_sta(struct 
+       if (ieee80211_vif_is_mesh(&sdata->vif))
+               mesh_sta_cleanup(sta);
+-      cancel_work_sync(&sta->drv_unblock_wk);
++      cancel_work_sync(&sta->drv_deliver_wk);
  
-+static void ieee80211_wds_rx_queued_mgmt(struct ieee80211_sub_if_data *sdata,
-+                                       struct sk_buff *skb)
-+{
-+      struct ieee80211_local *local = sdata->local;
-+      struct ieee80211_rx_status *rx_status;
-+      struct ieee802_11_elems elems;
-+      struct ieee80211_mgmt *mgmt;
-+      struct sta_info *sta;
-+      size_t baselen;
-+      u32 rates = 0;
-+      u16 stype;
-+      bool new = false;
-+      enum ieee80211_band band;
-+      struct ieee80211_supported_band *sband;
-+
-+      rx_status = IEEE80211_SKB_RXCB(skb);
-+      band = rx_status->band;
-+      sband = local->hw.wiphy->bands[band];
-+      mgmt = (struct ieee80211_mgmt *) skb->data;
-+      stype = le16_to_cpu(mgmt->frame_control) & IEEE80211_FCTL_STYPE;
-+
-+      if (stype != IEEE80211_STYPE_BEACON)
-+              return;
-+
-+      baselen = (u8 *) mgmt->u.probe_resp.variable - (u8 *) mgmt;
-+      if (baselen > skb->len)
-+              return;
-+
-+      ieee802_11_parse_elems(mgmt->u.probe_resp.variable,
-+                             skb->len - baselen, false, &elems);
-+
-+      rates = ieee80211_sta_get_rates(local, &elems, band, NULL);
-+
-+      rcu_read_lock();
-+
-+      sta = sta_info_get(sdata, sdata->u.wds.remote_addr);
-+
-+      if (!sta) {
-+              rcu_read_unlock();
-+              sta = sta_info_alloc(sdata, sdata->u.wds.remote_addr,
-+                                   GFP_KERNEL);
-+              if (!sta)
-+                      return;
-+
-+              new = true;
-+      }
-+
-+      sta->last_rx = jiffies;
-+      sta->sta.supp_rates[band] = rates;
-+
-+      if (elems.ht_cap_elem)
-+              ieee80211_ht_cap_ie_to_sta_ht_cap(sdata, sband,
-+                              elems.ht_cap_elem, sta);
-+
-+      if (elems.wmm_param)
-+              set_sta_flag(sta, WLAN_STA_WME);
-+
-+      if (new) {
-+              sta_info_pre_move_state(sta, IEEE80211_STA_AUTH);
-+              sta_info_pre_move_state(sta, IEEE80211_STA_ASSOC);
-+              sta_info_pre_move_state(sta, IEEE80211_STA_AUTHORIZED);
-+              rate_control_rate_init(sta);
-+              sta_info_insert_rcu(sta);
-+      }
-+
-+      rcu_read_unlock();
-+}
-+
- static void ieee80211_iface_work(struct work_struct *work)
+       /*
+        * Destroy aggregation state here. It would be nice to wait for the
+@@ -227,6 +229,7 @@ struct sta_info *sta_info_get_by_idx(str
+  */
+ void sta_info_free(struct ieee80211_local *local, struct sta_info *sta)
  {
-       struct ieee80211_sub_if_data *sdata =
-@@ -1220,6 +1273,9 @@ static void ieee80211_iface_work(struct 
-                               break;
-                       ieee80211_mesh_rx_queued_mgmt(sdata, skb);
-                       break;
-+              case NL80211_IFTYPE_WDS:
-+                      ieee80211_wds_rx_queued_mgmt(sdata, skb);
-+                      break;
-               default:
-                       WARN(1, "frame for unexpected interface type");
-                       break;
-@@ -1282,6 +1338,7 @@ static void ieee80211_setup_sdata(struct
-       skb_queue_head_init(&sdata->skb_queue);
-       INIT_WORK(&sdata->work, ieee80211_iface_work);
-       INIT_WORK(&sdata->recalc_smps, ieee80211_recalc_smps_work);
-+      INIT_WORK(&sdata->csa_finalize_work, ieee80211_csa_finalize_work);
-       switch (type) {
-       case NL80211_IFTYPE_P2P_GO:
---- a/net/mac80211/rc80211_minstrel_ht.c
-+++ b/net/mac80211/rc80211_minstrel_ht.c
-@@ -365,6 +365,14 @@ minstrel_ht_update_stats(struct minstrel
-               }
++      struct ieee80211_sta_rates *rates;
+       int i;
+       if (sta->rate_ctrl)
+@@ -238,6 +241,10 @@ void sta_info_free(struct ieee80211_loca
+               kfree(sta->tx_lat);
        }
  
-+#ifdef CPTCFG_MAC80211_DEBUGFS
-+      /* use fixed index if set */
-+      if (mp->fixed_rate_idx != -1) {
-+              mi->max_tp_rate = mp->fixed_rate_idx;
-+              mi->max_tp_rate2 = mp->fixed_rate_idx;
-+              mi->max_prob_rate = mp->fixed_rate_idx;
-+      }
-+#endif
++      rates = rcu_dereference_protected(sta->sta.rates, true);
++      if (rates)
++              kfree(rates);
++
+       sta_dbg(sta->sdata, "Destroyed STA %pM\n", sta->sta.addr);
  
-       mi->stats_update = jiffies;
+       kfree(sta);
+@@ -252,33 +259,23 @@ static void sta_info_hash_add(struct iee
+       rcu_assign_pointer(local->sta_hash[STA_HASH(sta->sta.addr)], sta);
  }
-@@ -774,6 +782,11 @@ minstrel_ht_get_rate(void *priv, struct 
-       info->flags |= mi->tx_flags;
-       minstrel_ht_check_cck_shortpreamble(mp, mi, txrc->short_preamble);
-+#ifdef CPTCFG_MAC80211_DEBUGFS
-+      if (mp->fixed_rate_idx != -1)
-+              return;
-+#endif
-+
-       /* Don't use EAPOL frames for sampling on non-mrr hw */
-       if (mp->hw->max_rates == 1 &&
-           txrc->skb->protocol == cpu_to_be16(ETH_P_PAE))
-@@ -781,16 +794,6 @@ minstrel_ht_get_rate(void *priv, struct 
-       else
-               sample_idx = minstrel_get_sample_rate(mp, mi);
--#ifdef CPTCFG_MAC80211_DEBUGFS
--      /* use fixed index if set */
--      if (mp->fixed_rate_idx != -1) {
--              mi->max_tp_rate = mp->fixed_rate_idx;
--              mi->max_tp_rate2 = mp->fixed_rate_idx;
--              mi->max_prob_rate = mp->fixed_rate_idx;
--              sample_idx = -1;
--      }
--#endif
--
-       mi->total_packets++;
  
-       /* wraparound */
-@@ -804,10 +807,18 @@ minstrel_ht_get_rate(void *priv, struct 
+-static void sta_unblock(struct work_struct *wk)
++static void sta_deliver_ps_frames(struct work_struct *wk)
+ {
+       struct sta_info *sta;
  
-       sample_group = &minstrel_mcs_groups[sample_idx / MCS_GROUP_RATES];
-       info->flags |= IEEE80211_TX_CTL_RATE_CTRL_PROBE;
-+      rate->count = 1;
-+
-+      if (sample_idx / MCS_GROUP_RATES == MINSTREL_CCK_GROUP) {
-+              int idx = sample_idx % ARRAY_SIZE(mp->cck_rates);
-+              rate->idx = mp->cck_rates[idx];
-+              rate->flags = 0;
-+              return;
-+      }
-+
-       rate->idx = sample_idx % MCS_GROUP_RATES +
-                   (sample_group->streams - 1) * MCS_GROUP_RATES;
-       rate->flags = IEEE80211_TX_RC_MCS | sample_group->flags;
--      rate->count = 1;
- }
+-      sta = container_of(wk, struct sta_info, drv_unblock_wk);
++      sta = container_of(wk, struct sta_info, drv_deliver_wk);
  
- static void
-@@ -820,6 +831,9 @@ minstrel_ht_update_cck(struct minstrel_p
-       if (sband->band != IEEE80211_BAND_2GHZ)
+       if (sta->dead)
                return;
  
-+      if (!(mp->hw->flags & IEEE80211_HW_SUPPORTS_HT_CCK_RATES))
-+              return;
-+
-       mi->cck_supported = 0;
-       mi->cck_supported_short = 0;
-       for (i = 0; i < 4; i++) {
---- a/net/mac80211/rx.c
-+++ b/net/mac80211/rx.c
-@@ -936,8 +936,14 @@ ieee80211_rx_h_check(struct ieee80211_rx
-       struct ieee80211_hdr *hdr = (struct ieee80211_hdr *)rx->skb->data;
-       struct ieee80211_rx_status *status = IEEE80211_SKB_RXCB(rx->skb);
+-      if (!test_sta_flag(sta, WLAN_STA_PS_STA)) {
+-              local_bh_disable();
++      local_bh_disable();
++      if (!test_sta_flag(sta, WLAN_STA_PS_STA))
+               ieee80211_sta_ps_deliver_wakeup(sta);
+-              local_bh_enable();
+-      } else if (test_and_clear_sta_flag(sta, WLAN_STA_PSPOLL)) {
+-              clear_sta_flag(sta, WLAN_STA_PS_DRIVER);
+-
+-              local_bh_disable();
++      else if (test_and_clear_sta_flag(sta, WLAN_STA_PSPOLL))
+               ieee80211_sta_ps_deliver_poll_response(sta);
+-              local_bh_enable();
+-      } else if (test_and_clear_sta_flag(sta, WLAN_STA_UAPSD)) {
+-              clear_sta_flag(sta, WLAN_STA_PS_DRIVER);
+-
+-              local_bh_disable();
++      else if (test_and_clear_sta_flag(sta, WLAN_STA_UAPSD))
+               ieee80211_sta_ps_deliver_uapsd(sta);
+-              local_bh_enable();
+-      } else
+-              clear_sta_flag(sta, WLAN_STA_PS_DRIVER);
++      local_bh_enable();
+ }
+ static int sta_prepare_rate_control(struct ieee80211_local *local,
+@@ -340,7 +337,7 @@ struct sta_info *sta_info_alloc(struct i
+       spin_lock_init(&sta->lock);
+       spin_lock_init(&sta->ps_lock);
+-      INIT_WORK(&sta->drv_unblock_wk, sta_unblock);
++      INIT_WORK(&sta->drv_deliver_wk, sta_deliver_ps_frames);
+       INIT_WORK(&sta->ampdu_mlme.work, ieee80211_ba_session_work);
+       mutex_init(&sta->ampdu_mlme.mtx);
+ #ifdef CPTCFG_MAC80211_MESH
+@@ -1101,8 +1098,11 @@ void ieee80211_sta_ps_deliver_wakeup(str
+       unsigned long flags;
+       struct ps_data *ps;
+-      if (sdata->vif.type == NL80211_IFTYPE_AP ||
+-          sdata->vif.type == NL80211_IFTYPE_AP_VLAN)
++      if (sdata->vif.type == NL80211_IFTYPE_AP_VLAN)
++              sdata = container_of(sdata->bss, struct ieee80211_sub_if_data,
++                                   u.ap);
++
++      if (sdata->vif.type == NL80211_IFTYPE_AP)
+               ps = &sdata->bss->ps;
+       else if (ieee80211_vif_is_mesh(&sdata->vif))
+               ps = &sdata->u.mesh.ps;
+@@ -1140,8 +1140,15 @@ void ieee80211_sta_ps_deliver_wakeup(str
+       }
  
--      /* Drop duplicate 802.11 retransmissions (IEEE 802.11 Chap. 9.2.9) */
--      if (rx->sta && !is_multicast_ether_addr(hdr->addr1)) {
-+      /*
-+       * Drop duplicate 802.11 retransmissions
-+       * (IEEE 802.11-2012: 9.3.2.10 "Duplicate detection and recovery")
-+       */
-+      if (rx->skb->len >= 24 && rx->sta &&
-+          !ieee80211_is_ctl(hdr->frame_control) &&
-+          !ieee80211_is_qos_nullfunc(hdr->frame_control) &&
-+          !is_multicast_ether_addr(hdr->addr1)) {
-               if (unlikely(ieee80211_has_retry(hdr->frame_control) &&
-                            rx->sta->last_seq_ctrl[rx->seqno_idx] ==
-                            hdr->seq_ctrl)) {
-@@ -2369,6 +2375,7 @@ ieee80211_rx_h_action(struct ieee80211_r
-                   sdata->vif.type != NL80211_IFTYPE_MESH_POINT &&
-                   sdata->vif.type != NL80211_IFTYPE_AP_VLAN &&
-                   sdata->vif.type != NL80211_IFTYPE_AP &&
-+                  sdata->vif.type != NL80211_IFTYPE_WDS &&
-                   sdata->vif.type != NL80211_IFTYPE_ADHOC)
-                       break;
-@@ -2720,14 +2727,15 @@ ieee80211_rx_h_mgmt(struct ieee80211_rx_
-       if (!ieee80211_vif_is_mesh(&sdata->vif) &&
-           sdata->vif.type != NL80211_IFTYPE_ADHOC &&
--          sdata->vif.type != NL80211_IFTYPE_STATION)
-+          sdata->vif.type != NL80211_IFTYPE_STATION &&
-+          sdata->vif.type != NL80211_IFTYPE_WDS)
-               return RX_DROP_MONITOR;
-       switch (stype) {
-       case cpu_to_le16(IEEE80211_STYPE_AUTH):
-       case cpu_to_le16(IEEE80211_STYPE_BEACON):
-       case cpu_to_le16(IEEE80211_STYPE_PROBE_RESP):
--              /* process for all: mesh, mlme, ibss */
-+              /* process for all: mesh, mlme, ibss, wds */
-               break;
-       case cpu_to_le16(IEEE80211_STYPE_ASSOC_RESP):
-       case cpu_to_le16(IEEE80211_STYPE_REASSOC_RESP):
-@@ -3008,6 +3016,9 @@ static int prepare_for_handlers(struct i
-       case NL80211_IFTYPE_ADHOC:
-               if (!bssid)
-                       return 0;
-+              if (ether_addr_equal(sdata->vif.addr, hdr->addr2) ||
-+                  ether_addr_equal(sdata->u.ibss.bssid, hdr->addr2))
-+                      return 0;
-               if (ieee80211_is_beacon(hdr->frame_control)) {
-                       return 1;
-               } else if (!ieee80211_bssid_match(bssid, sdata->u.ibss.bssid)) {
-@@ -3059,10 +3070,16 @@ static int prepare_for_handlers(struct i
-               }
-               break;
-       case NL80211_IFTYPE_WDS:
--              if (bssid || !ieee80211_is_data(hdr->frame_control))
--                      return 0;
-               if (!ether_addr_equal(sdata->u.wds.remote_addr, hdr->addr2))
-                       return 0;
+       ieee80211_add_pending_skbs(local, &pending);
+-      clear_sta_flag(sta, WLAN_STA_PS_DRIVER);
+-      clear_sta_flag(sta, WLAN_STA_PS_STA);
 +
-+              if (ieee80211_is_data(hdr->frame_control) ||
-+                  ieee80211_is_action(hdr->frame_control)) {
-+                      if (compare_ether_addr(sdata->vif.addr, hdr->addr1))
-+                              return 0;
-+              } else if (!ieee80211_is_beacon(hdr->frame_control))
-+                      return 0;
++      /* now we're no longer in the deliver code */
++      clear_sta_flag(sta, WLAN_STA_PS_DELIVER);
 +
-               break;
-       case NL80211_IFTYPE_P2P_DEVICE:
-               if (!ieee80211_is_public_action(hdr, skb->len) &&
---- a/net/mac80211/sta_info.h
-+++ b/net/mac80211/sta_info.h
-@@ -32,7 +32,6 @@
-  * @WLAN_STA_SHORT_PREAMBLE: Station is capable of receiving short-preamble
-  *    frames.
-  * @WLAN_STA_WME: Station is a QoS-STA.
-- * @WLAN_STA_WDS: Station is one of our WDS peers.
-  * @WLAN_STA_CLEAR_PS_FILT: Clear PS filter in hardware (using the
-  *    IEEE80211_TX_CTL_CLEAR_PS_FILT control flag) when the next
-  *    frame to this station is transmitted.
-@@ -66,7 +65,6 @@ enum ieee80211_sta_info_flags {
-       WLAN_STA_AUTHORIZED,
-       WLAN_STA_SHORT_PREAMBLE,
-       WLAN_STA_WME,
--      WLAN_STA_WDS,
-       WLAN_STA_CLEAR_PS_FILT,
-       WLAN_STA_MFP,
-       WLAN_STA_BLOCK_BA,
---- a/drivers/net/wireless/ath/ath9k/xmit.c
-+++ b/drivers/net/wireless/ath/ath9k/xmit.c
-@@ -135,6 +135,9 @@ static struct ath_frame_info *get_frame_
++      /* The station might have polled and then woken up before we responded,
++       * so clear these flags now to avoid them sticking around.
++       */
++      clear_sta_flag(sta, WLAN_STA_PSPOLL);
++      clear_sta_flag(sta, WLAN_STA_UAPSD);
+       spin_unlock(&sta->ps_lock);
  
- static void ath_send_bar(struct ath_atx_tid *tid, u16 seqno)
- {
-+      if (!tid->an->sta)
-+              return;
-+
-       ieee80211_send_bar(tid->an->vif, tid->an->sta->addr, tid->tidno,
-                          seqno << IEEE80211_SEQ_SEQ_SHIFT);
- }
-@@ -146,6 +149,93 @@ static void ath_set_rates(struct ieee802
-                              ARRAY_SIZE(bf->rates));
- }
+       atomic_dec(&ps->num_sta_ps);
+@@ -1542,10 +1549,26 @@ void ieee80211_sta_block_awake(struct ie
  
-+static void ath_txq_skb_done(struct ath_softc *sc, struct ath_txq *txq,
-+                           struct sk_buff *skb)
-+{
-+      int q;
-+
-+      q = skb_get_queue_mapping(skb);
-+      if (txq == sc->tx.uapsdq)
-+              txq = sc->tx.txq_map[q];
-+
-+      if (txq != sc->tx.txq_map[q])
+       trace_api_sta_block_awake(sta->local, pubsta, block);
+-      if (block)
++      if (block) {
+               set_sta_flag(sta, WLAN_STA_PS_DRIVER);
+-      else if (test_sta_flag(sta, WLAN_STA_PS_DRIVER))
+-              ieee80211_queue_work(hw, &sta->drv_unblock_wk);
 +              return;
-+
-+      if (WARN_ON(--txq->pending_frames < 0))
-+              txq->pending_frames = 0;
-+
-+      if (txq->stopped &&
-+          txq->pending_frames < sc->tx.txq_max_pending[q]) {
-+              ieee80211_wake_queue(sc->hw, q);
-+              txq->stopped = false;
 +      }
-+}
-+
-+static struct ath_atx_tid *
-+ath_get_skb_tid(struct ath_softc *sc, struct ath_node *an, struct sk_buff *skb)
-+{
-+      struct ieee80211_hdr *hdr;
-+      u8 tidno = 0;
 +
-+      hdr = (struct ieee80211_hdr *) skb->data;
-+      if (ieee80211_is_data_qos(hdr->frame_control))
-+              tidno = ieee80211_get_qos_ctl(hdr)[0];
++      if (!test_sta_flag(sta, WLAN_STA_PS_DRIVER))
++              return;
 +
-+      tidno &= IEEE80211_QOS_CTL_TID_MASK;
-+      return ATH_AN_2_TID(an, tidno);
-+}
++      if (!test_sta_flag(sta, WLAN_STA_PS_STA)) {
++              set_sta_flag(sta, WLAN_STA_PS_DELIVER);
++              clear_sta_flag(sta, WLAN_STA_PS_DRIVER);
++              ieee80211_queue_work(hw, &sta->drv_deliver_wk);
++      } else if (test_sta_flag(sta, WLAN_STA_PSPOLL) ||
++                 test_sta_flag(sta, WLAN_STA_UAPSD)) {
++              /* must be asleep in this case */
++              clear_sta_flag(sta, WLAN_STA_PS_DRIVER);
++              ieee80211_queue_work(hw, &sta->drv_deliver_wk);
++      } else {
++              clear_sta_flag(sta, WLAN_STA_PS_DRIVER);
++      }
+ }
+ EXPORT_SYMBOL(ieee80211_sta_block_awake);
+@@ -1703,3 +1726,140 @@ u8 sta_info_tx_streams(struct sta_info *
+       return ((ht_cap->mcs.tx_params & IEEE80211_HT_MCS_TX_MAX_STREAMS_MASK)
+                       >> IEEE80211_HT_MCS_TX_MAX_STREAMS_SHIFT) + 1;
+ }
 +
-+static bool ath_tid_has_buffered(struct ath_atx_tid *tid)
++void sta_set_sinfo(struct sta_info *sta, struct station_info *sinfo)
 +{
-+      return !skb_queue_empty(&tid->buf_q) || !skb_queue_empty(&tid->retry_q);
-+}
++      struct ieee80211_sub_if_data *sdata = sta->sdata;
++      struct ieee80211_local *local = sdata->local;
++      struct rate_control_ref *ref = NULL;
++      struct timespec uptime;
++      u64 packets = 0;
++      u32 thr = 0;
++      int i, ac;
++
++      if (test_sta_flag(sta, WLAN_STA_RATE_CONTROL))
++              ref = local->rate_ctrl;
++
++      sinfo->generation = sdata->local->sta_generation;
++
++      sinfo->filled = STATION_INFO_INACTIVE_TIME |
++                      STATION_INFO_RX_BYTES64 |
++                      STATION_INFO_TX_BYTES64 |
++                      STATION_INFO_RX_PACKETS |
++                      STATION_INFO_TX_PACKETS |
++                      STATION_INFO_TX_RETRIES |
++                      STATION_INFO_TX_FAILED |
++                      STATION_INFO_TX_BITRATE |
++                      STATION_INFO_RX_BITRATE |
++                      STATION_INFO_RX_DROP_MISC |
++                      STATION_INFO_BSS_PARAM |
++                      STATION_INFO_CONNECTED_TIME |
++                      STATION_INFO_STA_FLAGS |
++                      STATION_INFO_BEACON_LOSS_COUNT;
++
++      do_posix_clock_monotonic_gettime(&uptime);
++      sinfo->connected_time = uptime.tv_sec - sta->last_connected;
++
++      sinfo->inactive_time = jiffies_to_msecs(jiffies - sta->last_rx);
++      sinfo->tx_bytes = 0;
++      for (ac = 0; ac < IEEE80211_NUM_ACS; ac++) {
++              sinfo->tx_bytes += sta->tx_bytes[ac];
++              packets += sta->tx_packets[ac];
++      }
++      sinfo->tx_packets = packets;
++      sinfo->rx_bytes = sta->rx_bytes;
++      sinfo->rx_packets = sta->rx_packets;
++      sinfo->tx_retries = sta->tx_retry_count;
++      sinfo->tx_failed = sta->tx_retry_failed;
++      sinfo->rx_dropped_misc = sta->rx_dropped;
++      sinfo->beacon_loss_count = sta->beacon_loss_count;
++
++      if ((sta->local->hw.flags & IEEE80211_HW_SIGNAL_DBM) ||
++          (sta->local->hw.flags & IEEE80211_HW_SIGNAL_UNSPEC)) {
++              sinfo->filled |= STATION_INFO_SIGNAL | STATION_INFO_SIGNAL_AVG;
++              if (!local->ops->get_rssi ||
++                  drv_get_rssi(local, sdata, &sta->sta, &sinfo->signal))
++                      sinfo->signal = (s8)sta->last_signal;
++              sinfo->signal_avg = (s8) -ewma_read(&sta->avg_signal);
++      }
++      if (sta->chains) {
++              sinfo->filled |= STATION_INFO_CHAIN_SIGNAL |
++                               STATION_INFO_CHAIN_SIGNAL_AVG;
++
++              sinfo->chains = sta->chains;
++              for (i = 0; i < ARRAY_SIZE(sinfo->chain_signal); i++) {
++                      sinfo->chain_signal[i] = sta->chain_signal_last[i];
++                      sinfo->chain_signal_avg[i] =
++                              (s8) -ewma_read(&sta->chain_signal_avg[i]);
++              }
++      }
 +
-+static struct sk_buff *ath_tid_dequeue(struct ath_atx_tid *tid)
-+{
-+      struct sk_buff *skb;
++      sta_set_rate_info_tx(sta, &sta->last_tx_rate, &sinfo->txrate);
++      sta_set_rate_info_rx(sta, &sinfo->rxrate);
++
++      if (ieee80211_vif_is_mesh(&sdata->vif)) {
++#ifdef CPTCFG_MAC80211_MESH
++              sinfo->filled |= STATION_INFO_LLID |
++                               STATION_INFO_PLID |
++                               STATION_INFO_PLINK_STATE |
++                               STATION_INFO_LOCAL_PM |
++                               STATION_INFO_PEER_PM |
++                               STATION_INFO_NONPEER_PM;
++
++              sinfo->llid = sta->llid;
++              sinfo->plid = sta->plid;
++              sinfo->plink_state = sta->plink_state;
++              if (test_sta_flag(sta, WLAN_STA_TOFFSET_KNOWN)) {
++                      sinfo->filled |= STATION_INFO_T_OFFSET;
++                      sinfo->t_offset = sta->t_offset;
++              }
++              sinfo->local_pm = sta->local_pm;
++              sinfo->peer_pm = sta->peer_pm;
++              sinfo->nonpeer_pm = sta->nonpeer_pm;
++#endif
++      }
 +
-+      skb = __skb_dequeue(&tid->retry_q);
-+      if (!skb)
-+              skb = __skb_dequeue(&tid->buf_q);
++      sinfo->bss_param.flags = 0;
++      if (sdata->vif.bss_conf.use_cts_prot)
++              sinfo->bss_param.flags |= BSS_PARAM_FLAGS_CTS_PROT;
++      if (sdata->vif.bss_conf.use_short_preamble)
++              sinfo->bss_param.flags |= BSS_PARAM_FLAGS_SHORT_PREAMBLE;
++      if (sdata->vif.bss_conf.use_short_slot)
++              sinfo->bss_param.flags |= BSS_PARAM_FLAGS_SHORT_SLOT_TIME;
++      sinfo->bss_param.dtim_period = sdata->local->hw.conf.ps_dtim_period;
++      sinfo->bss_param.beacon_interval = sdata->vif.bss_conf.beacon_int;
++
++      sinfo->sta_flags.set = 0;
++      sinfo->sta_flags.mask = BIT(NL80211_STA_FLAG_AUTHORIZED) |
++                              BIT(NL80211_STA_FLAG_SHORT_PREAMBLE) |
++                              BIT(NL80211_STA_FLAG_WME) |
++                              BIT(NL80211_STA_FLAG_MFP) |
++                              BIT(NL80211_STA_FLAG_AUTHENTICATED) |
++                              BIT(NL80211_STA_FLAG_ASSOCIATED) |
++                              BIT(NL80211_STA_FLAG_TDLS_PEER);
++      if (test_sta_flag(sta, WLAN_STA_AUTHORIZED))
++              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_AUTHORIZED);
++      if (test_sta_flag(sta, WLAN_STA_SHORT_PREAMBLE))
++              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_SHORT_PREAMBLE);
++      if (test_sta_flag(sta, WLAN_STA_WME))
++              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_WME);
++      if (test_sta_flag(sta, WLAN_STA_MFP))
++              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_MFP);
++      if (test_sta_flag(sta, WLAN_STA_AUTH))
++              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_AUTHENTICATED);
++      if (test_sta_flag(sta, WLAN_STA_ASSOC))
++              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_ASSOCIATED);
++      if (test_sta_flag(sta, WLAN_STA_TDLS_PEER))
++              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_TDLS_PEER);
++
++      /* check if the driver has a SW RC implementation */
++      if (ref && ref->ops->get_expected_throughput)
++              thr = ref->ops->get_expected_throughput(sta->rate_ctrl_priv);
++      else
++              thr = drv_get_expected_throughput(local, &sta->sta);
 +
-+      return skb;
++      if (thr != 0) {
++              sinfo->filled |= STATION_INFO_EXPECTED_THROUGHPUT;
++              sinfo->expected_throughput = thr;
++      }
 +}
-+
-+/*
-+ * ath_tx_tid_change_state:
-+ * - clears a-mpdu flag of previous session
-+ * - force sequence number allocation to fix next BlockAck Window
-+ */
-+static void
-+ath_tx_tid_change_state(struct ath_softc *sc, struct ath_atx_tid *tid)
+--- a/net/mac80211/status.c
++++ b/net/mac80211/status.c
+@@ -541,6 +541,23 @@ static void ieee80211_tx_latency_end_msr
+  */
+ #define STA_LOST_PKT_THRESHOLD        50
++static void ieee80211_lost_packet(struct sta_info *sta, struct sk_buff *skb)
 +{
-+      struct ath_txq *txq = tid->ac->txq;
-+      struct ieee80211_tx_info *tx_info;
-+      struct sk_buff *skb, *tskb;
-+      struct ath_buf *bf;
-+      struct ath_frame_info *fi;
-+
-+      skb_queue_walk_safe(&tid->buf_q, skb, tskb) {
-+              fi = get_frame_info(skb);
-+              bf = fi->bf;
-+
-+              tx_info = IEEE80211_SKB_CB(skb);
-+              tx_info->flags &= ~IEEE80211_TX_CTL_AMPDU;
++      struct ieee80211_tx_info *info = IEEE80211_SKB_CB(skb);
 +
-+              if (bf)
-+                      continue;
++      /* This packet was aggregated but doesn't carry status info */
++      if ((info->flags & IEEE80211_TX_CTL_AMPDU) &&
++          !(info->flags & IEEE80211_TX_STAT_AMPDU))
++              return;
 +
-+              bf = ath_tx_setup_buffer(sc, txq, tid, skb);
-+              if (!bf) {
-+                      __skb_unlink(skb, &tid->buf_q);
-+                      ath_txq_skb_done(sc, txq, skb);
-+                      ieee80211_free_txskb(sc->hw, skb);
-+                      continue;
-+              }
-+      }
++      if (++sta->lost_packets < STA_LOST_PKT_THRESHOLD)
++              return;
 +
++      cfg80211_cqm_pktloss_notify(sta->sdata->dev, sta->sta.addr,
++                                  sta->lost_packets, GFP_ATOMIC);
++      sta->lost_packets = 0;
 +}
 +
- static void ath_tx_flush_tid(struct ath_softc *sc, struct ath_atx_tid *tid)
- {
-       struct ath_txq *txq = tid->ac->txq;
-@@ -160,27 +250,22 @@ static void ath_tx_flush_tid(struct ath_
-       memset(&ts, 0, sizeof(ts));
--      while ((skb = __skb_dequeue(&tid->buf_q))) {
-+      while ((skb = __skb_dequeue(&tid->retry_q))) {
-               fi = get_frame_info(skb);
-               bf = fi->bf;
--
-               if (!bf) {
--                      bf = ath_tx_setup_buffer(sc, txq, tid, skb);
--                      if (!bf) {
--                              ieee80211_free_txskb(sc->hw, skb);
--                              continue;
--                      }
-+                      ath_txq_skb_done(sc, txq, skb);
-+                      ieee80211_free_txskb(sc->hw, skb);
-+                      continue;
+ void ieee80211_tx_status(struct ieee80211_hw *hw, struct sk_buff *skb)
+ {
+       struct sk_buff *skb2;
+@@ -680,12 +697,8 @@ void ieee80211_tx_status(struct ieee8021
+                       if (info->flags & IEEE80211_TX_STAT_ACK) {
+                               if (sta->lost_packets)
+                                       sta->lost_packets = 0;
+-                      } else if (++sta->lost_packets >= STA_LOST_PKT_THRESHOLD) {
+-                              cfg80211_cqm_pktloss_notify(sta->sdata->dev,
+-                                                          sta->sta.addr,
+-                                                          sta->lost_packets,
+-                                                          GFP_ATOMIC);
+-                              sta->lost_packets = 0;
++                      } else {
++                              ieee80211_lost_packet(sta, skb);
+                       }
                }
  
--              if (fi->retries) {
--                      list_add_tail(&bf->list, &bf_head);
-+              if (fi->baw_tracked) {
-                       ath_tx_update_baw(sc, tid, bf->bf_state.seqno);
--                      ath_tx_complete_buf(sc, bf, txq, &bf_head, &ts, 0);
-                       sendbar = true;
--              } else {
--                      ath_set_rates(tid->an->vif, tid->an->sta, bf);
--                      ath_tx_send_normal(sc, txq, NULL, skb);
-               }
-+
-+              list_add_tail(&bf->list, &bf_head);
-+              ath_tx_complete_buf(sc, bf, txq, &bf_head, &ts, 0);
+--- a/net/mac80211/rx.c
++++ b/net/mac80211/rx.c
+@@ -1107,6 +1107,8 @@ static void sta_ps_end(struct sta_info *
+               return;
        }
  
-       if (sendbar) {
-@@ -209,13 +294,16 @@ static void ath_tx_update_baw(struct ath
++      set_sta_flag(sta, WLAN_STA_PS_DELIVER);
++      clear_sta_flag(sta, WLAN_STA_PS_STA);
+       ieee80211_sta_ps_deliver_wakeup(sta);
  }
  
- static void ath_tx_addto_baw(struct ath_softc *sc, struct ath_atx_tid *tid,
--                           u16 seqno)
-+                           struct ath_buf *bf)
- {
-+      struct ath_frame_info *fi = get_frame_info(bf->bf_mpdu);
-+      u16 seqno = bf->bf_state.seqno;
-       int index, cindex;
-       index  = ATH_BA_INDEX(tid->seq_start, seqno);
-       cindex = (tid->baw_head + index) & (ATH_TID_MAX_BUFS - 1);
-       __set_bit(cindex, tid->tx_buf);
-+      fi->baw_tracked = 1;
-       if (index >= ((tid->baw_tail - tid->baw_head) &
-               (ATH_TID_MAX_BUFS - 1))) {
-@@ -224,12 +312,6 @@ static void ath_tx_addto_baw(struct ath_
-       }
- }
+--- a/net/mac80211/sta_info.h
++++ b/net/mac80211/sta_info.h
+@@ -82,6 +82,7 @@ enum ieee80211_sta_info_flags {
+       WLAN_STA_TOFFSET_KNOWN,
+       WLAN_STA_MPSP_OWNER,
+       WLAN_STA_MPSP_RECIPIENT,
++      WLAN_STA_PS_DELIVER,
+ };
  
--/*
-- * TODO: For frame(s) that are in the retry state, we will reuse the
-- * sequence number(s) without setting the retry bit. The
-- * alternative is to give up on these and BAR the receiver's window
-- * forward.
-- */
- static void ath_tid_drain(struct ath_softc *sc, struct ath_txq *txq,
-                         struct ath_atx_tid *tid)
-@@ -243,7 +325,7 @@ static void ath_tid_drain(struct ath_sof
-       memset(&ts, 0, sizeof(ts));
-       INIT_LIST_HEAD(&bf_head);
--      while ((skb = __skb_dequeue(&tid->buf_q))) {
-+      while ((skb = ath_tid_dequeue(tid))) {
-               fi = get_frame_info(skb);
-               bf = fi->bf;
-@@ -253,14 +335,8 @@ static void ath_tid_drain(struct ath_sof
+ #define ADDBA_RESP_INTERVAL HZ
+@@ -265,7 +266,7 @@ struct ieee80211_tx_latency_stat {
+  * @last_rx_rate_vht_nss: rx status nss of last data packet
+  * @lock: used for locking all fields that require locking, see comments
+  *    in the header file.
+- * @drv_unblock_wk: used for driver PS unblocking
++ * @drv_deliver_wk: used for delivering frames after driver PS unblocking
+  * @listen_interval: listen interval of this station, when we're acting as AP
+  * @_flags: STA flags, see &enum ieee80211_sta_info_flags, do not use directly
+  * @ps_lock: used for powersave (when mac80211 is the AP) related locking
+@@ -278,7 +279,6 @@ struct ieee80211_tx_latency_stat {
+  * @driver_buffered_tids: bitmap of TIDs the driver has data buffered on
+  * @rx_packets: Number of MSDUs received from this STA
+  * @rx_bytes: Number of bytes received from this STA
+- * @wep_weak_iv_count: number of weak WEP IVs received from this station
+  * @last_rx: time (in jiffies) when last frame was received from this STA
+  * @last_connected: time (in seconds) when a station got connected
+  * @num_duplicates: number of duplicate frames received from this STA
+@@ -345,7 +345,7 @@ struct sta_info {
+       void *rate_ctrl_priv;
+       spinlock_t lock;
+-      struct work_struct drv_unblock_wk;
++      struct work_struct drv_deliver_wk;
+       u16 listen_interval;
+@@ -367,7 +367,6 @@ struct sta_info {
+       /* Updated from RX path only, no locking requirements */
+       unsigned long rx_packets;
+       u64 rx_bytes;
+-      unsigned long wep_weak_iv_count;
+       unsigned long last_rx;
+       long last_connected;
+       unsigned long num_duplicates;
+@@ -628,6 +627,8 @@ void sta_set_rate_info_tx(struct sta_inf
+                         struct rate_info *rinfo);
+ void sta_set_rate_info_rx(struct sta_info *sta,
+                         struct rate_info *rinfo);
++void sta_set_sinfo(struct sta_info *sta, struct station_info *sinfo);
++
+ void ieee80211_sta_expire(struct ieee80211_sub_if_data *sdata,
+                         unsigned long exp_time);
+ u8 sta_info_tx_streams(struct sta_info *sta);
+--- a/net/mac80211/tx.c
++++ b/net/mac80211/tx.c
+@@ -469,7 +469,8 @@ ieee80211_tx_h_unicast_ps_buf(struct iee
+               return TX_CONTINUE;
+       if (unlikely((test_sta_flag(sta, WLAN_STA_PS_STA) ||
+-                    test_sta_flag(sta, WLAN_STA_PS_DRIVER)) &&
++                    test_sta_flag(sta, WLAN_STA_PS_DRIVER) ||
++                    test_sta_flag(sta, WLAN_STA_PS_DELIVER)) &&
+                    !(info->flags & IEEE80211_TX_CTL_NO_PS_BUFFER))) {
+               int ac = skb_get_queue_mapping(tx->skb);
+@@ -486,7 +487,8 @@ ieee80211_tx_h_unicast_ps_buf(struct iee
+                * ahead and Tx the packet.
+                */
+               if (!test_sta_flag(sta, WLAN_STA_PS_STA) &&
+-                  !test_sta_flag(sta, WLAN_STA_PS_DRIVER)) {
++                  !test_sta_flag(sta, WLAN_STA_PS_DRIVER) &&
++                  !test_sta_flag(sta, WLAN_STA_PS_DELIVER)) {
+                       spin_unlock(&sta->ps_lock);
+                       return TX_CONTINUE;
                }
+@@ -1618,12 +1620,12 @@ netdev_tx_t ieee80211_monitor_start_xmit
+ {
+       struct ieee80211_local *local = wdev_priv(dev->ieee80211_ptr);
+       struct ieee80211_chanctx_conf *chanctx_conf;
+-      struct ieee80211_channel *chan;
+       struct ieee80211_radiotap_header *prthdr =
+               (struct ieee80211_radiotap_header *)skb->data;
+       struct ieee80211_tx_info *info = IEEE80211_SKB_CB(skb);
+       struct ieee80211_hdr *hdr;
+       struct ieee80211_sub_if_data *tmp_sdata, *sdata;
++      struct cfg80211_chan_def *chandef;
+       u16 len_rthdr;
+       int hdrlen;
  
-               list_add_tail(&bf->list, &bf_head);
--
--              ath_tx_update_baw(sc, tid, bf->bf_state.seqno);
-               ath_tx_complete_buf(sc, bf, txq, &bf_head, &ts, 0);
-       }
--
--      tid->seq_next = tid->seq_start;
--      tid->baw_tail = tid->baw_head;
--      tid->bar_index = -1;
- }
- static void ath_tx_set_retry(struct ath_softc *sc, struct ath_txq *txq,
-@@ -323,6 +399,7 @@ static struct ath_buf* ath_clone_txbuf(s
-       tbf->bf_buf_addr = bf->bf_buf_addr;
-       memcpy(tbf->bf_desc, bf->bf_desc, sc->sc_ah->caps.tx_desc_len);
-       tbf->bf_state = bf->bf_state;
-+      tbf->bf_state.stale = false;
-       return tbf;
- }
-@@ -380,7 +457,6 @@ static void ath_tx_complete_aggr(struct 
-       struct ieee80211_tx_rate rates[4];
-       struct ath_frame_info *fi;
-       int nframes;
--      u8 tidno;
-       bool flush = !!(ts->ts_status & ATH9K_TX_FLUSH);
-       int i, retries;
-       int bar_index = -1;
-@@ -406,7 +482,7 @@ static void ath_tx_complete_aggr(struct 
-               while (bf) {
-                       bf_next = bf->bf_next;
--                      if (!bf->bf_stale || bf_next != NULL)
-+                      if (!bf->bf_state.stale || bf_next != NULL)
-                               list_move_tail(&bf->list, &bf_head);
-                       ath_tx_complete_buf(sc, bf, txq, &bf_head, ts, 0);
-@@ -417,8 +493,7 @@ static void ath_tx_complete_aggr(struct 
+@@ -1721,9 +1723,9 @@ netdev_tx_t ieee80211_monitor_start_xmit
        }
  
-       an = (struct ath_node *)sta->drv_priv;
--      tidno = ieee80211_get_qos_ctl(hdr)[0] & IEEE80211_QOS_CTL_TID_MASK;
--      tid = ATH_AN_2_TID(an, tidno);
-+      tid = ath_get_skb_tid(sc, an, skb);
-       seq_first = tid->seq_start;
-       isba = ts->ts_flags & ATH9K_TX_BA;
+       if (chanctx_conf)
+-              chan = chanctx_conf->def.chan;
++              chandef = &chanctx_conf->def;
+       else if (!local->use_chanctx)
+-              chan = local->_oper_chandef.chan;
++              chandef = &local->_oper_chandef;
+       else
+               goto fail_rcu;
  
-@@ -430,7 +505,7 @@ static void ath_tx_complete_aggr(struct 
-        * Only BlockAcks have a TID and therefore normal Acks cannot be
-        * checked
+@@ -1743,10 +1745,11 @@ netdev_tx_t ieee80211_monitor_start_xmit
+        * radar detection by itself. We can do that later by adding a
+        * monitor flag interfaces used for AP support.
         */
--      if (isba && tidno != ts->tid)
-+      if (isba && tid->tidno != ts->tid)
-               txok = false;
-       isaggr = bf_isaggr(bf);
-@@ -466,7 +541,8 @@ static void ath_tx_complete_aggr(struct 
-               tx_info = IEEE80211_SKB_CB(skb);
-               fi = get_frame_info(skb);
--              if (!BAW_WITHIN(tid->seq_start, tid->baw_size, seqno)) {
-+              if (!BAW_WITHIN(tid->seq_start, tid->baw_size, seqno) ||
-+                  !tid->active) {
-                       /*
-                        * Outside of the current BlockAck window,
-                        * maybe part of a previous session
-@@ -499,7 +575,7 @@ static void ath_tx_complete_aggr(struct 
-                * not a holding desc.
-                */
-               INIT_LIST_HEAD(&bf_head);
--              if (bf_next != NULL || !bf_last->bf_stale)
-+              if (bf_next != NULL || !bf_last->bf_state.stale)
-                       list_move_tail(&bf->list, &bf_head);
-               if (!txpending) {
-@@ -523,7 +599,7 @@ static void ath_tx_complete_aggr(struct 
-                               ieee80211_sta_eosp(sta);
-                       }
-                       /* retry the un-acked ones */
--                      if (bf->bf_next == NULL && bf_last->bf_stale) {
-+                      if (bf->bf_next == NULL && bf_last->bf_state.stale) {
-                               struct ath_buf *tbf;
-                               tbf = ath_clone_txbuf(sc, bf_last);
-@@ -560,7 +636,7 @@ static void ath_tx_complete_aggr(struct 
-               if (an->sleeping)
-                       ieee80211_sta_set_buffered(sta, tid->tidno, true);
--              skb_queue_splice(&bf_pending, &tid->buf_q);
-+              skb_queue_splice_tail(&bf_pending, &tid->retry_q);
-               if (!an->sleeping) {
-                       ath_tx_queue_tid(txq, tid);
-@@ -618,7 +694,7 @@ static void ath_tx_process_buffer(struct
-       } else
-               ath_tx_complete_aggr(sc, txq, bf, bf_head, ts, txok);
--      if ((sc->sc_ah->caps.hw_caps & ATH9K_HW_CAP_HT) && !flush)
-+      if (!flush)
-               ath_txq_schedule(sc, txq);
- }
+-      if ((chan->flags & (IEEE80211_CHAN_NO_IR | IEEE80211_CHAN_RADAR)))
++      if (!cfg80211_reg_can_beacon(local->hw.wiphy, chandef,
++                                   sdata->vif.type))
+               goto fail_rcu;
  
-@@ -792,15 +868,20 @@ static int ath_compute_num_delims(struct
+-      ieee80211_xmit(sdata, skb, chan->band);
++      ieee80211_xmit(sdata, skb, chandef->chan->band);
+       rcu_read_unlock();
  
- static struct ath_buf *
- ath_tx_get_tid_subframe(struct ath_softc *sc, struct ath_txq *txq,
--                      struct ath_atx_tid *tid)
-+                      struct ath_atx_tid *tid, struct sk_buff_head **q)
- {
-+      struct ieee80211_tx_info *tx_info;
-       struct ath_frame_info *fi;
-       struct sk_buff *skb;
-       struct ath_buf *bf;
-       u16 seqno;
+       return NETDEV_TX_OK;
+@@ -2425,7 +2428,7 @@ static void ieee80211_set_csa(struct iee
+       u8 *beacon_data;
+       size_t beacon_data_len;
+       int i;
+-      u8 count = sdata->csa_current_counter;
++      u8 count = beacon->csa_current_counter;
  
-       while (1) {
--              skb = skb_peek(&tid->buf_q);
-+              *q = &tid->retry_q;
-+              if (skb_queue_empty(*q))
-+                      *q = &tid->buf_q;
-+
-+              skb = skb_peek(*q);
-               if (!skb)
-                       break;
+       switch (sdata->vif.type) {
+       case NL80211_IFTYPE_AP:
+@@ -2444,46 +2447,54 @@ static void ieee80211_set_csa(struct iee
+               return;
+       }
  
-@@ -808,13 +889,26 @@ ath_tx_get_tid_subframe(struct ath_softc
-               bf = fi->bf;
-               if (!fi->bf)
-                       bf = ath_tx_setup_buffer(sc, txq, tid, skb);
-+              else
-+                      bf->bf_state.stale = false;
++      rcu_read_lock();
+       for (i = 0; i < IEEE80211_MAX_CSA_COUNTERS_NUM; ++i) {
+-              u16 counter_offset_beacon =
+-                      sdata->csa_counter_offset_beacon[i];
+-              u16 counter_offset_presp = sdata->csa_counter_offset_presp[i];
+-
+-              if (counter_offset_beacon) {
+-                      if (WARN_ON(counter_offset_beacon >= beacon_data_len))
+-                              return;
+-
+-                      beacon_data[counter_offset_beacon] = count;
+-              }
+-
+-              if (sdata->vif.type == NL80211_IFTYPE_AP &&
+-                  counter_offset_presp) {
+-                      rcu_read_lock();
+-                      resp = rcu_dereference(sdata->u.ap.probe_resp);
++              resp = rcu_dereference(sdata->u.ap.probe_resp);
  
-               if (!bf) {
--                      __skb_unlink(skb, &tid->buf_q);
-+                      __skb_unlink(skb, *q);
-+                      ath_txq_skb_done(sc, txq, skb);
-                       ieee80211_free_txskb(sc->hw, skb);
-                       continue;
+-                      /* If nl80211 accepted the offset, this should
+-                       * not happen.
+-                       */
+-                      if (WARN_ON(!resp)) {
++              if (beacon->csa_counter_offsets[i]) {
++                      if (WARN_ON_ONCE(beacon->csa_counter_offsets[i] >=
++                                       beacon_data_len)) {
+                               rcu_read_unlock();
+                               return;
+                       }
+-                      resp->data[counter_offset_presp] = count;
+-                      rcu_read_unlock();
++
++                      beacon_data[beacon->csa_counter_offsets[i]] = count;
                }
++
++              if (sdata->vif.type == NL80211_IFTYPE_AP && resp &&
++                  resp->csa_counter_offsets)
++                      resp->data[resp->csa_counter_offsets[i]] = count;
+       }
++      rcu_read_unlock();
+ }
  
-+              bf->bf_next = NULL;
-+              bf->bf_lastbf = bf;
+ u8 ieee80211_csa_update_counter(struct ieee80211_vif *vif)
+ {
+       struct ieee80211_sub_if_data *sdata = vif_to_sdata(vif);
++      struct beacon_data *beacon = NULL;
++      u8 count = 0;
 +
-+              tx_info = IEEE80211_SKB_CB(skb);
-+              tx_info->flags &= ~IEEE80211_TX_CTL_CLEAR_PS_FILT;
-+              if (!(tx_info->flags & IEEE80211_TX_CTL_AMPDU)) {
-+                      bf->bf_state.bf_type = 0;
-+                      return bf;
-+              }
++      rcu_read_lock();
 +
-               bf->bf_state.bf_type = BUF_AMPDU | BUF_AGGR;
-               seqno = bf->bf_state.seqno;
++      if (sdata->vif.type == NL80211_IFTYPE_AP)
++              beacon = rcu_dereference(sdata->u.ap.beacon);
++      else if (sdata->vif.type == NL80211_IFTYPE_ADHOC)
++              beacon = rcu_dereference(sdata->u.ibss.presp);
++      else if (ieee80211_vif_is_mesh(&sdata->vif))
++              beacon = rcu_dereference(sdata->u.mesh.beacon);
++
++      if (!beacon)
++              goto unlock;
  
-@@ -828,73 +922,52 @@ ath_tx_get_tid_subframe(struct ath_softc
+-      sdata->csa_current_counter--;
++      beacon->csa_current_counter--;
  
-                       INIT_LIST_HEAD(&bf_head);
-                       list_add(&bf->list, &bf_head);
--                      __skb_unlink(skb, &tid->buf_q);
-+                      __skb_unlink(skb, *q);
-                       ath_tx_update_baw(sc, tid, seqno);
-                       ath_tx_complete_buf(sc, bf, txq, &bf_head, &ts, 0);
-                       continue;
-               }
+       /* the counter should never reach 0 */
+-      WARN_ON(!sdata->csa_current_counter);
++      WARN_ON_ONCE(!beacon->csa_current_counter);
++      count = beacon->csa_current_counter;
+-      return sdata->csa_current_counter;
++unlock:
++      rcu_read_unlock();
++      return count;
+ }
+ EXPORT_SYMBOL(ieee80211_csa_update_counter);
  
--              bf->bf_next = NULL;
--              bf->bf_lastbf = bf;
-               return bf;
+@@ -2493,7 +2504,6 @@ bool ieee80211_csa_is_complete(struct ie
+       struct beacon_data *beacon = NULL;
+       u8 *beacon_data;
+       size_t beacon_data_len;
+-      int counter_beacon = sdata->csa_counter_offset_beacon[0];
+       int ret = false;
+       if (!ieee80211_sdata_running(sdata))
+@@ -2531,10 +2541,13 @@ bool ieee80211_csa_is_complete(struct ie
+               goto out;
        }
  
-       return NULL;
- }
+-      if (WARN_ON(counter_beacon > beacon_data_len))
++      if (!beacon->csa_counter_offsets[0])
++              goto out;
++
++      if (WARN_ON_ONCE(beacon->csa_counter_offsets[0] > beacon_data_len))
+               goto out;
  
--static enum ATH_AGGR_STATUS ath_tx_form_aggr(struct ath_softc *sc,
--                                           struct ath_txq *txq,
--                                           struct ath_atx_tid *tid,
--                                           struct list_head *bf_q,
--                                           int *aggr_len)
-+static bool
-+ath_tx_form_aggr(struct ath_softc *sc, struct ath_txq *txq,
-+               struct ath_atx_tid *tid, struct list_head *bf_q,
-+               struct ath_buf *bf_first, struct sk_buff_head *tid_q,
-+               int *aggr_len)
+-      if (beacon_data[counter_beacon] == 1)
++      if (beacon_data[beacon->csa_counter_offsets[0]] == 1)
+               ret = true;
+  out:
+       rcu_read_unlock();
+@@ -2550,6 +2563,7 @@ __ieee80211_beacon_get(struct ieee80211_
+                      bool is_template)
  {
- #define PADBYTES(_len) ((4 - ((_len) % 4)) % 4)
--      struct ath_buf *bf, *bf_first = NULL, *bf_prev = NULL;
--      int rl = 0, nframes = 0, ndelim, prev_al = 0;
-+      struct ath_buf *bf = bf_first, *bf_prev = NULL;
-+      int nframes = 0, ndelim;
-       u16 aggr_limit = 0, al = 0, bpad = 0,
--              al_delta, h_baw = tid->baw_size / 2;
--      enum ATH_AGGR_STATUS status = ATH_AGGR_DONE;
-+          al_delta, h_baw = tid->baw_size / 2;
-       struct ieee80211_tx_info *tx_info;
-       struct ath_frame_info *fi;
-       struct sk_buff *skb;
-+      bool closed = false;
--      do {
--              bf = ath_tx_get_tid_subframe(sc, txq, tid);
--              if (!bf) {
--                      status = ATH_AGGR_BAW_CLOSED;
--                      break;
--              }
-+      bf = bf_first;
-+      aggr_limit = ath_lookup_rate(sc, bf, tid);
-+      do {
-               skb = bf->bf_mpdu;
-               fi = get_frame_info(skb);
+       struct ieee80211_local *local = hw_to_local(hw);
++      struct beacon_data *beacon = NULL;
+       struct sk_buff *skb = NULL;
+       struct ieee80211_tx_info *info;
+       struct ieee80211_sub_if_data *sdata = NULL;
+@@ -2571,10 +2585,10 @@ __ieee80211_beacon_get(struct ieee80211_
  
--              if (!bf_first)
--                      bf_first = bf;
--
--              if (!rl) {
--                      ath_set_rates(tid->an->vif, tid->an->sta, bf);
--                      aggr_limit = ath_lookup_rate(sc, bf, tid);
--                      rl = 1;
--              }
--
-               /* do not exceed aggregation limit */
-               al_delta = ATH_AGGR_DELIM_SZ + fi->framelen;
-+              if (nframes) {
-+                      if (aggr_limit < al + bpad + al_delta ||
-+                          ath_lookup_legacy(bf) || nframes >= h_baw)
-+                              break;
+       if (sdata->vif.type == NL80211_IFTYPE_AP) {
+               struct ieee80211_if_ap *ap = &sdata->u.ap;
+-              struct beacon_data *beacon = rcu_dereference(ap->beacon);
  
--              if (nframes &&
--                  ((aggr_limit < (al + bpad + al_delta + prev_al)) ||
--                   ath_lookup_legacy(bf))) {
--                      status = ATH_AGGR_LIMITED;
--                      break;
--              }
--
--              tx_info = IEEE80211_SKB_CB(bf->bf_mpdu);
--              if (nframes && (tx_info->flags & IEEE80211_TX_CTL_RATE_CTRL_PROBE))
--                      break;
--
--              /* do not exceed subframe limit */
--              if (nframes >= min((int)h_baw, ATH_AMPDU_SUBFRAME_DEFAULT)) {
--                      status = ATH_AGGR_LIMITED;
--                      break;
-+                      tx_info = IEEE80211_SKB_CB(bf->bf_mpdu);
-+                      if ((tx_info->flags & IEEE80211_TX_CTL_RATE_CTRL_PROBE) ||
-+                          !(tx_info->flags & IEEE80211_TX_CTL_AMPDU))
-+                              break;
++              beacon = rcu_dereference(ap->beacon);
+               if (beacon) {
+-                      if (sdata->vif.csa_active) {
++                      if (beacon->csa_counter_offsets[0]) {
+                               if (!is_template)
+                                       ieee80211_csa_update_counter(vif);
+@@ -2615,37 +2629,37 @@ __ieee80211_beacon_get(struct ieee80211_
+       } else if (sdata->vif.type == NL80211_IFTYPE_ADHOC) {
+               struct ieee80211_if_ibss *ifibss = &sdata->u.ibss;
+               struct ieee80211_hdr *hdr;
+-              struct beacon_data *presp = rcu_dereference(ifibss->presp);
+-              if (!presp)
++              beacon = rcu_dereference(ifibss->presp);
++              if (!beacon)
+                       goto out;
+-              if (sdata->vif.csa_active) {
++              if (beacon->csa_counter_offsets[0]) {
+                       if (!is_template)
+                               ieee80211_csa_update_counter(vif);
+-                      ieee80211_set_csa(sdata, presp);
++                      ieee80211_set_csa(sdata, beacon);
                }
  
-               /* add padding for previous frame to aggregation length */
-@@ -912,22 +985,37 @@ static enum ATH_AGGR_STATUS ath_tx_form_
-               bf->bf_next = NULL;
-               /* link buffers of this frame to the aggregate */
--              if (!fi->retries)
--                      ath_tx_addto_baw(sc, tid, bf->bf_state.seqno);
-+              if (!fi->baw_tracked)
-+                      ath_tx_addto_baw(sc, tid, bf);
-               bf->bf_state.ndelim = ndelim;
--              __skb_unlink(skb, &tid->buf_q);
-+              __skb_unlink(skb, tid_q);
-               list_add_tail(&bf->list, bf_q);
-               if (bf_prev)
-                       bf_prev->bf_next = bf;
-               bf_prev = bf;
--      } while (!skb_queue_empty(&tid->buf_q));
-+              bf = ath_tx_get_tid_subframe(sc, txq, tid, &tid_q);
-+              if (!bf) {
-+                      closed = true;
-+                      break;
-+              }
-+      } while (ath_tid_has_buffered(tid));
-+
-+      bf = bf_first;
-+      bf->bf_lastbf = bf_prev;
-+
-+      if (bf == bf_prev) {
-+              al = get_frame_info(bf->bf_mpdu)->framelen;
-+              bf->bf_state.bf_type = BUF_AMPDU;
-+      } else {
-+              TX_STAT_INC(txq->axq_qnum, a_aggr);
-+      }
+-              skb = dev_alloc_skb(local->tx_headroom + presp->head_len +
++              skb = dev_alloc_skb(local->tx_headroom + beacon->head_len +
+                                   local->hw.extra_beacon_tailroom);
+               if (!skb)
+                       goto out;
+               skb_reserve(skb, local->tx_headroom);
+-              memcpy(skb_put(skb, presp->head_len), presp->head,
+-                     presp->head_len);
++              memcpy(skb_put(skb, beacon->head_len), beacon->head,
++                     beacon->head_len);
+               hdr = (struct ieee80211_hdr *) skb->data;
+               hdr->frame_control = cpu_to_le16(IEEE80211_FTYPE_MGMT |
+                                                IEEE80211_STYPE_BEACON);
+       } else if (ieee80211_vif_is_mesh(&sdata->vif)) {
+               struct ieee80211_if_mesh *ifmsh = &sdata->u.mesh;
+-              struct beacon_data *bcn = rcu_dereference(ifmsh->beacon);
+-              if (!bcn)
++              beacon = rcu_dereference(ifmsh->beacon);
++              if (!beacon)
+                       goto out;
+-              if (sdata->vif.csa_active) {
++              if (beacon->csa_counter_offsets[0]) {
+                       if (!is_template)
+                               /* TODO: For mesh csa_counter is in TU, so
+                                * decrementing it by one isn't correct, but
+@@ -2654,40 +2668,42 @@ __ieee80211_beacon_get(struct ieee80211_
+                                */
+                               ieee80211_csa_update_counter(vif);
  
-       *aggr_len = al;
+-                      ieee80211_set_csa(sdata, bcn);
++                      ieee80211_set_csa(sdata, beacon);
+               }
  
--      return status;
-+      return closed;
- #undef PADBYTES
- }
+               if (ifmsh->sync_ops)
+-                      ifmsh->sync_ops->adjust_tbtt(sdata, bcn);
++                      ifmsh->sync_ops->adjust_tbtt(sdata, beacon);
+               skb = dev_alloc_skb(local->tx_headroom +
+-                                  bcn->head_len +
++                                  beacon->head_len +
+                                   256 + /* TIM IE */
+-                                  bcn->tail_len +
++                                  beacon->tail_len +
+                                   local->hw.extra_beacon_tailroom);
+               if (!skb)
+                       goto out;
+               skb_reserve(skb, local->tx_headroom);
+-              memcpy(skb_put(skb, bcn->head_len), bcn->head, bcn->head_len);
++              memcpy(skb_put(skb, beacon->head_len), beacon->head,
++                     beacon->head_len);
+               ieee80211_beacon_add_tim(sdata, &ifmsh->ps, skb, is_template);
+               if (offs) {
+-                      offs->tim_offset = bcn->head_len;
+-                      offs->tim_length = skb->len - bcn->head_len;
++                      offs->tim_offset = beacon->head_len;
++                      offs->tim_length = skb->len - beacon->head_len;
+               }
  
-@@ -999,7 +1087,7 @@ void ath_update_max_aggr_framelen(struct
- }
+-              memcpy(skb_put(skb, bcn->tail_len), bcn->tail, bcn->tail_len);
++              memcpy(skb_put(skb, beacon->tail_len), beacon->tail,
++                     beacon->tail_len);
+       } else {
+               WARN_ON(1);
+               goto out;
+       }
  
- static void ath_buf_set_rate(struct ath_softc *sc, struct ath_buf *bf,
--                           struct ath_tx_info *info, int len)
-+                           struct ath_tx_info *info, int len, bool rts)
- {
-       struct ath_hw *ah = sc->sc_ah;
-       struct sk_buff *skb;
-@@ -1008,6 +1096,7 @@ static void ath_buf_set_rate(struct ath_
-       const struct ieee80211_rate *rate;
-       struct ieee80211_hdr *hdr;
-       struct ath_frame_info *fi = get_frame_info(bf->bf_mpdu);
-+      u32 rts_thresh = sc->hw->wiphy->rts_threshold;
-       int i;
-       u8 rix = 0;
+       /* CSA offsets */
+-      if (offs) {
++      if (offs && beacon) {
+               int i;
  
-@@ -1030,7 +1119,17 @@ static void ath_buf_set_rate(struct ath_
-               rix = rates[i].idx;
-               info->rates[i].Tries = rates[i].count;
+               for (i = 0; i < IEEE80211_MAX_CSA_COUNTERS_NUM; i++) {
+-                      u16 csa_off = sdata->csa_counter_offset_beacon[i];
++                      u16 csa_off = beacon->csa_counter_offsets[i];
  
--                  if (rates[i].flags & IEEE80211_TX_RC_USE_RTS_CTS) {
-+              /*
-+               * Handle RTS threshold for unaggregated HT frames.
-+               */
-+              if (bf_isampdu(bf) && !bf_isaggr(bf) &&
-+                  (rates[i].flags & IEEE80211_TX_RC_MCS) &&
-+                  unlikely(rts_thresh != (u32) -1)) {
-+                      if (!rts_thresh || (len > rts_thresh))
-+                              rts = true;
-+              }
-+
-+              if (rts || rates[i].flags & IEEE80211_TX_RC_USE_RTS_CTS) {
-                       info->rates[i].RateFlags |= ATH9K_RATESERIES_RTS_CTS;
-                       info->flags |= ATH9K_TXDESC_RTSENA;
-               } else if (rates[i].flags & IEEE80211_TX_RC_USE_CTS_PROTECT) {
-@@ -1123,6 +1222,8 @@ static void ath_tx_fill_desc(struct ath_
-       struct ath_hw *ah = sc->sc_ah;
-       struct ath_buf *bf_first = NULL;
-       struct ath_tx_info info;
-+      u32 rts_thresh = sc->hw->wiphy->rts_threshold;
-+      bool rts = false;
-       memset(&info, 0, sizeof(info));
-       info.is_first = true;
-@@ -1159,7 +1260,22 @@ static void ath_tx_fill_desc(struct ath_
-                               info.flags |= (u32) bf->bf_state.bfs_paprd <<
-                                             ATH9K_TXDESC_PAPRD_S;
--                      ath_buf_set_rate(sc, bf, &info, len);
-+                      /*
-+                       * mac80211 doesn't handle RTS threshold for HT because
-+                       * the decision has to be taken based on AMPDU length
-+                       * and aggregation is done entirely inside ath9k.
-+                       * Set the RTS/CTS flag for the first subframe based
-+                       * on the threshold.
-+                       */
-+                      if (aggr && (bf == bf_first) &&
-+                          unlikely(rts_thresh != (u32) -1)) {
-+                              /*
-+                               * "len" is the size of the entire AMPDU.
-+                               */
-+                              if (!rts_thresh || (len > rts_thresh))
-+                                      rts = true;
-+                      }
-+                      ath_buf_set_rate(sc, bf, &info, len, rts);
-               }
-               info.buf_addr[0] = bf->bf_buf_addr;
-@@ -1188,53 +1304,86 @@ static void ath_tx_fill_desc(struct ath_
-       }
+                       if (!csa_off)
+                               continue;
+--- a/drivers/net/wireless/rt2x00/rt2800lib.c
++++ b/drivers/net/wireless/rt2x00/rt2800lib.c
+@@ -947,6 +947,40 @@ static inline u8 rt2800_get_beacon_offse
+       return BEACON_BASE_TO_OFFSET(rt2800_hw_beacon_base(rt2x00dev, index));
  }
  
--static void ath_tx_sched_aggr(struct ath_softc *sc, struct ath_txq *txq,
--                            struct ath_atx_tid *tid)
-+static void
-+ath_tx_form_burst(struct ath_softc *sc, struct ath_txq *txq,
-+                struct ath_atx_tid *tid, struct list_head *bf_q,
-+                struct ath_buf *bf_first, struct sk_buff_head *tid_q)
- {
--      struct ath_buf *bf;
--      enum ATH_AGGR_STATUS status;
--      struct ieee80211_tx_info *tx_info;
--      struct list_head bf_q;
--      int aggr_len;
-+      struct ath_buf *bf = bf_first, *bf_prev = NULL;
-+      struct sk_buff *skb;
-+      int nframes = 0;
-       do {
--              if (skb_queue_empty(&tid->buf_q))
--                      return;
-+              struct ieee80211_tx_info *tx_info;
-+              skb = bf->bf_mpdu;
--              INIT_LIST_HEAD(&bf_q);
-+              nframes++;
-+              __skb_unlink(skb, tid_q);
-+              list_add_tail(&bf->list, bf_q);
-+              if (bf_prev)
-+                      bf_prev->bf_next = bf;
-+              bf_prev = bf;
--              status = ath_tx_form_aggr(sc, txq, tid, &bf_q, &aggr_len);
-+              if (nframes >= 2)
-+                      break;
--              /*
--               * no frames picked up to be aggregated;
--               * block-ack window is not open.
--               */
--              if (list_empty(&bf_q))
-+              bf = ath_tx_get_tid_subframe(sc, txq, tid, &tid_q);
-+              if (!bf)
-                       break;
--              bf = list_first_entry(&bf_q, struct ath_buf, list);
--              bf->bf_lastbf = list_entry(bf_q.prev, struct ath_buf, list);
-               tx_info = IEEE80211_SKB_CB(bf->bf_mpdu);
-+              if (tx_info->flags & IEEE80211_TX_CTL_AMPDU)
-+                      break;
--              if (tid->ac->clear_ps_filter) {
--                      tid->ac->clear_ps_filter = false;
--                      tx_info->flags |= IEEE80211_TX_CTL_CLEAR_PS_FILT;
--              } else {
--                      tx_info->flags &= ~IEEE80211_TX_CTL_CLEAR_PS_FILT;
--              }
-+              ath_set_rates(tid->an->vif, tid->an->sta, bf);
-+      } while (1);
-+}
--              /* if only one frame, send as non-aggregate */
--              if (bf == bf->bf_lastbf) {
--                      aggr_len = get_frame_info(bf->bf_mpdu)->framelen;
--                      bf->bf_state.bf_type = BUF_AMPDU;
--              } else {
--                      TX_STAT_INC(txq->axq_qnum, a_aggr);
--              }
-+static bool ath_tx_sched_aggr(struct ath_softc *sc, struct ath_txq *txq,
-+                            struct ath_atx_tid *tid, bool *stop)
++static void rt2800_update_beacons_setup(struct rt2x00_dev *rt2x00dev)
 +{
-+      struct ath_buf *bf;
-+      struct ieee80211_tx_info *tx_info;
-+      struct sk_buff_head *tid_q;
-+      struct list_head bf_q;
-+      int aggr_len = 0;
-+      bool aggr, last = true;
-+
-+      if (!ath_tid_has_buffered(tid))
-+              return false;
++      struct data_queue *queue = rt2x00dev->bcn;
++      struct queue_entry *entry;
++      int i, bcn_num = 0;
++      u64 off, reg = 0;
++      u32 bssid_dw1;
 +
-+      INIT_LIST_HEAD(&bf_q);
++      /*
++       * Setup offsets of all active beacons in BCN_OFFSET{0,1} registers.
++       */
++      for (i = 0; i < queue->limit; i++) {
++              entry = &queue->entries[i];
++              if (!test_bit(ENTRY_BCN_ENABLED, &entry->flags))
++                      continue;
++              off = rt2800_get_beacon_offset(rt2x00dev, entry->entry_idx);
++              reg |= off << (8 * bcn_num);
++              bcn_num++;
++      }
 +
-+      bf = ath_tx_get_tid_subframe(sc, txq, tid, &tid_q);
-+      if (!bf)
-+              return false;
++      WARN_ON_ONCE(bcn_num != rt2x00dev->intf_beaconing);
 +
-+      tx_info = IEEE80211_SKB_CB(bf->bf_mpdu);
-+      aggr = !!(tx_info->flags & IEEE80211_TX_CTL_AMPDU);
-+      if ((aggr && txq->axq_ampdu_depth >= ATH_AGGR_MIN_QDEPTH) ||
-+              (!aggr && txq->axq_depth >= ATH_NON_AGGR_MIN_QDEPTH)) {
-+              *stop = true;
-+              return false;
-+      }
--              ath_tx_fill_desc(sc, bf, txq, aggr_len);
--              ath_tx_txqaddbuf(sc, txq, &bf_q, false);
--      } while (txq->axq_ampdu_depth < ATH_AGGR_MIN_QDEPTH &&
--               status != ATH_AGGR_BAW_CLOSED);
-+      ath_set_rates(tid->an->vif, tid->an->sta, bf);
-+      if (aggr)
-+              last = ath_tx_form_aggr(sc, txq, tid, &bf_q, bf,
-+                                      tid_q, &aggr_len);
-+      else
-+              ath_tx_form_burst(sc, txq, tid, &bf_q, bf, tid_q);
++      rt2800_register_write(rt2x00dev, BCN_OFFSET0, (u32) reg);
++      rt2800_register_write(rt2x00dev, BCN_OFFSET1, (u32) (reg >> 32));
 +
-+      if (list_empty(&bf_q))
-+              return false;
++      /*
++       * H/W sends up to MAC_BSSID_DW1_BSS_BCN_NUM + 1 consecutive beacons.
++       */
++      rt2800_register_read(rt2x00dev, MAC_BSSID_DW1, &bssid_dw1);
++      rt2x00_set_field32(&bssid_dw1, MAC_BSSID_DW1_BSS_BCN_NUM,
++                         bcn_num > 0 ? bcn_num - 1 : 0);
++      rt2800_register_write(rt2x00dev, MAC_BSSID_DW1, bssid_dw1);
++}
 +
-+      if (tid->ac->clear_ps_filter || tid->an->no_ps_filter) {
-+              tid->ac->clear_ps_filter = false;
-+              tx_info->flags |= IEEE80211_TX_CTL_CLEAR_PS_FILT;
-+      }
+ void rt2800_write_beacon(struct queue_entry *entry, struct txentry_desc *txdesc)
+ {
+       struct rt2x00_dev *rt2x00dev = entry->queue->rt2x00dev;
+@@ -1003,6 +1037,12 @@ void rt2800_write_beacon(struct queue_en
+       rt2800_register_multiwrite(rt2x00dev, beacon_base, entry->skb->data,
+                                  entry->skb->len + padding_len);
++      __set_bit(ENTRY_BCN_ENABLED, &entry->flags);
 +
-+      ath_tx_fill_desc(sc, bf, txq, aggr_len);
-+      ath_tx_txqaddbuf(sc, txq, &bf_q, false);
-+      return true;
- }
++      /*
++       * Change global beacons settings.
++       */
++      rt2800_update_beacons_setup(rt2x00dev);
  
- int ath_tx_aggr_start(struct ath_softc *sc, struct ieee80211_sta *sta,
-@@ -1258,6 +1407,9 @@ int ath_tx_aggr_start(struct ath_softc *
-               an->mpdudensity = density;
-       }
+       /*
+        * Restore beaconing state.
+@@ -1053,8 +1093,13 @@ void rt2800_clear_beacon(struct queue_en
+        * Clear beacon.
+        */
+       rt2800_clear_beacon_register(rt2x00dev, entry->entry_idx);
++      __clear_bit(ENTRY_BCN_ENABLED, &entry->flags);
  
-+      /* force sequence number allocation for pending frames */
-+      ath_tx_tid_change_state(sc, txtid);
-+
-       txtid->active = true;
-       txtid->paused = true;
-       *ssn = txtid->seq_start = txtid->seq_next;
-@@ -1277,8 +1429,9 @@ void ath_tx_aggr_stop(struct ath_softc *
+       /*
++       * Change global beacons settings.
++       */
++      rt2800_update_beacons_setup(rt2x00dev);
++      /*
+        * Restore beaconing state.
+        */
+       rt2800_register_write(rt2x00dev, BCN_TIME_CFG, orig_reg);
+@@ -1556,7 +1601,7 @@ void rt2800_config_intf(struct rt2x00_de
+               if (!is_zero_ether_addr((const u8 *)conf->bssid)) {
+                       reg = le32_to_cpu(conf->bssid[1]);
+                       rt2x00_set_field32(&reg, MAC_BSSID_DW1_BSS_ID_MASK, 3);
+-                      rt2x00_set_field32(&reg, MAC_BSSID_DW1_BSS_BCN_NUM, 7);
++                      rt2x00_set_field32(&reg, MAC_BSSID_DW1_BSS_BCN_NUM, 0);
+                       conf->bssid[1] = cpu_to_le32(reg);
+               }
  
-       ath_txq_lock(sc, txq);
-       txtid->active = false;
--      txtid->paused = true;
-+      txtid->paused = false;
-       ath_tx_flush_tid(sc, txtid);
-+      ath_tx_tid_change_state(sc, txtid);
-       ath_txq_unlock_complete(sc, txq);
- }
+@@ -4517,28 +4562,6 @@ static int rt2800_init_registers(struct 
+       if (ret)
+               return ret;
+-      rt2800_register_read(rt2x00dev, BCN_OFFSET0, &reg);
+-      rt2x00_set_field32(&reg, BCN_OFFSET0_BCN0,
+-                         rt2800_get_beacon_offset(rt2x00dev, 0));
+-      rt2x00_set_field32(&reg, BCN_OFFSET0_BCN1,
+-                         rt2800_get_beacon_offset(rt2x00dev, 1));
+-      rt2x00_set_field32(&reg, BCN_OFFSET0_BCN2,
+-                         rt2800_get_beacon_offset(rt2x00dev, 2));
+-      rt2x00_set_field32(&reg, BCN_OFFSET0_BCN3,
+-                         rt2800_get_beacon_offset(rt2x00dev, 3));
+-      rt2800_register_write(rt2x00dev, BCN_OFFSET0, reg);
+-
+-      rt2800_register_read(rt2x00dev, BCN_OFFSET1, &reg);
+-      rt2x00_set_field32(&reg, BCN_OFFSET1_BCN4,
+-                         rt2800_get_beacon_offset(rt2x00dev, 4));
+-      rt2x00_set_field32(&reg, BCN_OFFSET1_BCN5,
+-                         rt2800_get_beacon_offset(rt2x00dev, 5));
+-      rt2x00_set_field32(&reg, BCN_OFFSET1_BCN6,
+-                         rt2800_get_beacon_offset(rt2x00dev, 6));
+-      rt2x00_set_field32(&reg, BCN_OFFSET1_BCN7,
+-                         rt2800_get_beacon_offset(rt2x00dev, 7));
+-      rt2800_register_write(rt2x00dev, BCN_OFFSET1, reg);
+-
+       rt2800_register_write(rt2x00dev, LEGACY_BASIC_RATE, 0x0000013f);
+       rt2800_register_write(rt2x00dev, HT_BASIC_RATE, 0x00008003);
+--- a/drivers/net/wireless/rt2x00/rt2x00dev.c
++++ b/drivers/net/wireless/rt2x00/rt2x00dev.c
+@@ -141,8 +141,11 @@ static void rt2x00lib_intf_scheduled_ite
+       if (!test_bit(DEVICE_STATE_ENABLED_RADIO, &rt2x00dev->flags))
+               return;
  
-@@ -1302,7 +1455,7 @@ void ath_tx_aggr_sleep(struct ieee80211_
+-      if (test_and_clear_bit(DELAYED_UPDATE_BEACON, &intf->delayed_flags))
++      if (test_and_clear_bit(DELAYED_UPDATE_BEACON, &intf->delayed_flags)) {
++              mutex_lock(&intf->beacon_skb_mutex);
+               rt2x00queue_update_beacon(rt2x00dev, vif);
++              mutex_unlock(&intf->beacon_skb_mutex);
++      }
+ }
  
-               ath_txq_lock(sc, txq);
+ static void rt2x00lib_intf_scheduled(struct work_struct *work)
+@@ -216,7 +219,7 @@ static void rt2x00lib_beaconupdate_iter(
+        * never be called for USB devices.
+        */
+       WARN_ON(rt2x00_is_usb(rt2x00dev));
+-      rt2x00queue_update_beacon_locked(rt2x00dev, vif);
++      rt2x00queue_update_beacon(rt2x00dev, vif);
+ }
+ void rt2x00lib_beacondone(struct rt2x00_dev *rt2x00dev)
+--- a/drivers/net/wireless/rt2x00/rt2x00mac.c
++++ b/drivers/net/wireless/rt2x00/rt2x00mac.c
+@@ -487,6 +487,8 @@ int rt2x00mac_set_key(struct ieee80211_h
+       crypto.cipher = rt2x00crypto_key_to_cipher(key);
+       if (crypto.cipher == CIPHER_NONE)
+               return -EOPNOTSUPP;
++      if (crypto.cipher == CIPHER_TKIP && rt2x00_is_usb(rt2x00dev))
++              return -EOPNOTSUPP;
  
--              buffered = !skb_queue_empty(&tid->buf_q);
-+              buffered = ath_tid_has_buffered(tid);
+       crypto.cmd = cmd;
  
-               tid->sched = false;
-               list_del(&tid->list);
-@@ -1334,7 +1487,7 @@ void ath_tx_aggr_wakeup(struct ath_softc
-               ath_txq_lock(sc, txq);
-               ac->clear_ps_filter = true;
+@@ -624,25 +626,24 @@ void rt2x00mac_bss_info_changed(struct i
+        * Start/stop beaconing.
+        */
+       if (changes & BSS_CHANGED_BEACON_ENABLED) {
++              mutex_lock(&intf->beacon_skb_mutex);
+               if (!bss_conf->enable_beacon && intf->enable_beacon) {
+                       rt2x00dev->intf_beaconing--;
+                       intf->enable_beacon = false;
+-                      /*
+-                       * Clear beacon in the H/W for this vif. This is needed
+-                       * to disable beaconing on this particular interface
+-                       * and keep it running on other interfaces.
+-                       */
+-                      rt2x00queue_clear_beacon(rt2x00dev, vif);
  
--              if (!skb_queue_empty(&tid->buf_q) && !tid->paused) {
-+              if (!tid->paused && ath_tid_has_buffered(tid)) {
-                       ath_tx_queue_tid(txq, tid);
-                       ath_txq_schedule(sc, txq);
+                       if (rt2x00dev->intf_beaconing == 0) {
+                               /*
+                                * Last beaconing interface disabled
+                                * -> stop beacon queue.
+                                */
+-                              mutex_lock(&intf->beacon_skb_mutex);
+                               rt2x00queue_stop_queue(rt2x00dev->bcn);
+-                              mutex_unlock(&intf->beacon_skb_mutex);
+                       }
++                      /*
++                       * Clear beacon in the H/W for this vif. This is needed
++                       * to disable beaconing on this particular interface
++                       * and keep it running on other interfaces.
++                       */
++                      rt2x00queue_clear_beacon(rt2x00dev, vif);
+               } else if (bss_conf->enable_beacon && !intf->enable_beacon) {
+                       rt2x00dev->intf_beaconing++;
+                       intf->enable_beacon = true;
+@@ -658,11 +659,10 @@ void rt2x00mac_bss_info_changed(struct i
+                                * First beaconing interface enabled
+                                * -> start beacon queue.
+                                */
+-                              mutex_lock(&intf->beacon_skb_mutex);
+                               rt2x00queue_start_queue(rt2x00dev->bcn);
+-                              mutex_unlock(&intf->beacon_skb_mutex);
+                       }
                }
-@@ -1359,7 +1512,7 @@ void ath_tx_aggr_resume(struct ath_softc
-       tid->baw_size = IEEE80211_MIN_AMPDU_BUF << sta->ht_cap.ampdu_factor;
-       tid->paused = false;
--      if (!skb_queue_empty(&tid->buf_q)) {
-+      if (ath_tid_has_buffered(tid)) {
-               ath_tx_queue_tid(txq, tid);
-               ath_txq_schedule(sc, txq);
++              mutex_unlock(&intf->beacon_skb_mutex);
        }
-@@ -1379,6 +1532,7 @@ void ath9k_release_buffered_frames(struc
-       struct ieee80211_tx_info *info;
-       struct list_head bf_q;
-       struct ath_buf *bf_tail = NULL, *bf;
-+      struct sk_buff_head *tid_q;
-       int sent = 0;
-       int i;
  
-@@ -1394,15 +1548,15 @@ void ath9k_release_buffered_frames(struc
-                       continue;
+       /*
+--- a/drivers/net/wireless/rt2x00/rt2x00queue.c
++++ b/drivers/net/wireless/rt2x00/rt2x00queue.c
+@@ -754,8 +754,6 @@ int rt2x00queue_clear_beacon(struct rt2x
+       if (unlikely(!intf->beacon))
+               return -ENOBUFS;
  
-               ath_txq_lock(sc, tid->ac->txq);
--              while (!skb_queue_empty(&tid->buf_q) && nframes > 0) {
--                      bf = ath_tx_get_tid_subframe(sc, sc->tx.uapsdq, tid);
-+              while (nframes > 0) {
-+                      bf = ath_tx_get_tid_subframe(sc, sc->tx.uapsdq, tid, &tid_q);
-                       if (!bf)
-                               break;
--                      __skb_unlink(bf->bf_mpdu, &tid->buf_q);
-+                      __skb_unlink(bf->bf_mpdu, tid_q);
-                       list_add_tail(&bf->list, &bf_q);
-                       ath_set_rates(tid->an->vif, tid->an->sta, bf);
--                      ath_tx_addto_baw(sc, tid, bf->bf_state.seqno);
-+                      ath_tx_addto_baw(sc, tid, bf);
-                       bf->bf_state.bf_type &= ~BUF_AGGR;
-                       if (bf_tail)
-                               bf_tail->bf_next = bf;
-@@ -1412,7 +1566,7 @@ void ath9k_release_buffered_frames(struc
-                       sent++;
-                       TX_STAT_INC(txq->axq_qnum, a_queued_hw);
--                      if (skb_queue_empty(&tid->buf_q))
-+                      if (an->sta && !ath_tid_has_buffered(tid))
-                               ieee80211_sta_set_buffered(an->sta, i, false);
-               }
-               ath_txq_unlock_complete(sc, tid->ac->txq);
-@@ -1571,7 +1725,7 @@ static void ath_drain_txq_list(struct at
-       while (!list_empty(list)) {
-               bf = list_first_entry(list, struct ath_buf, list);
+-      mutex_lock(&intf->beacon_skb_mutex);
+-
+       /*
+        * Clean up the beacon skb.
+        */
+@@ -768,13 +766,11 @@ int rt2x00queue_clear_beacon(struct rt2x
+       if (rt2x00dev->ops->lib->clear_beacon)
+               rt2x00dev->ops->lib->clear_beacon(intf->beacon);
  
--              if (bf->bf_stale) {
-+              if (bf->bf_state.stale) {
-                       list_del(&bf->list);
+-      mutex_unlock(&intf->beacon_skb_mutex);
+-
+       return 0;
+ }
  
-                       ath_tx_return_buffer(sc, bf);
-@@ -1665,25 +1819,27 @@ void ath_tx_cleanupq(struct ath_softc *s
-  */
- void ath_txq_schedule(struct ath_softc *sc, struct ath_txq *txq)
+-int rt2x00queue_update_beacon_locked(struct rt2x00_dev *rt2x00dev,
+-                                   struct ieee80211_vif *vif)
++int rt2x00queue_update_beacon(struct rt2x00_dev *rt2x00dev,
++                            struct ieee80211_vif *vif)
  {
--      struct ath_atx_ac *ac, *ac_tmp, *last_ac;
-+      struct ath_atx_ac *ac, *last_ac;
-       struct ath_atx_tid *tid, *last_tid;
-+      bool sent = false;
-       if (test_bit(SC_OP_HW_RESET, &sc->sc_flags) ||
--          list_empty(&txq->axq_acq) ||
--          txq->axq_ampdu_depth >= ATH_AGGR_MIN_QDEPTH)
-+          list_empty(&txq->axq_acq))
-               return;
-       rcu_read_lock();
+       struct rt2x00_intf *intf = vif_to_intf(vif);
+       struct skb_frame_desc *skbdesc;
+@@ -815,19 +811,6 @@ int rt2x00queue_update_beacon_locked(str
  
--      ac = list_first_entry(&txq->axq_acq, struct ath_atx_ac, list);
-       last_ac = list_entry(txq->axq_acq.prev, struct ath_atx_ac, list);
-+      while (!list_empty(&txq->axq_acq)) {
-+              bool stop = false;
+ }
  
--      list_for_each_entry_safe(ac, ac_tmp, &txq->axq_acq, list) {
-+              ac = list_first_entry(&txq->axq_acq, struct ath_atx_ac, list);
-               last_tid = list_entry(ac->tid_q.prev, struct ath_atx_tid, list);
-               list_del(&ac->list);
-               ac->sched = false;
+-int rt2x00queue_update_beacon(struct rt2x00_dev *rt2x00dev,
+-                            struct ieee80211_vif *vif)
+-{
+-      struct rt2x00_intf *intf = vif_to_intf(vif);
+-      int ret;
+-
+-      mutex_lock(&intf->beacon_skb_mutex);
+-      ret = rt2x00queue_update_beacon_locked(rt2x00dev, vif);
+-      mutex_unlock(&intf->beacon_skb_mutex);
+-
+-      return ret;
+-}
+-
+ bool rt2x00queue_for_each_entry(struct data_queue *queue,
+                               enum queue_index start,
+                               enum queue_index end,
+--- a/drivers/net/wireless/rt2x00/rt2x00queue.h
++++ b/drivers/net/wireless/rt2x00/rt2x00queue.h
+@@ -353,6 +353,7 @@ struct txentry_desc {
+  */
+ enum queue_entry_flags {
+       ENTRY_BCN_ASSIGNED,
++      ENTRY_BCN_ENABLED,
+       ENTRY_OWNER_DEVICE_DATA,
+       ENTRY_DATA_PENDING,
+       ENTRY_DATA_IO_FAILED,
+--- a/drivers/net/wireless/ath/ath9k/main.c
++++ b/drivers/net/wireless/ath/ath9k/main.c
+@@ -1757,7 +1757,6 @@ out:
+ void ath9k_update_p2p_ps(struct ath_softc *sc, struct ieee80211_vif *vif)
+ {
+       struct ath_vif *avp = (void *)vif->drv_priv;
+-      unsigned long flags;
+       u32 tsf;
  
-               while (!list_empty(&ac->tid_q)) {
-+
-                       tid = list_first_entry(&ac->tid_q, struct ath_atx_tid,
-                                              list);
-                       list_del(&tid->list);
-@@ -1692,17 +1848,17 @@ void ath_txq_schedule(struct ath_softc *
-                       if (tid->paused)
-                               continue;
+       if (!sc->p2p_ps_timer)
+@@ -1767,14 +1766,9 @@ void ath9k_update_p2p_ps(struct ath_soft
+               return;
  
--                      ath_tx_sched_aggr(sc, txq, tid);
-+                      if (ath_tx_sched_aggr(sc, txq, tid, &stop))
-+                              sent = true;
-                       /*
-                        * add tid to round-robin queue if more frames
-                        * are pending for the tid
-                        */
--                      if (!skb_queue_empty(&tid->buf_q))
-+                      if (ath_tid_has_buffered(tid))
-                               ath_tx_queue_tid(txq, tid);
--                      if (tid == last_tid ||
--                          txq->axq_ampdu_depth >= ATH_AGGR_MIN_QDEPTH)
-+                      if (stop || tid == last_tid)
-                               break;
-               }
+       sc->p2p_ps_vif = avp;
+-
+-      spin_lock_irqsave(&sc->sc_pm_lock, flags);
+-      if (!(sc->ps_flags & PS_BEACON_SYNC)) {
+-              tsf = ath9k_hw_gettsf32(sc->sc_ah);
+-              ieee80211_parse_p2p_noa(&vif->bss_conf.p2p_noa_attr, &avp->noa, tsf);
+-              ath9k_update_p2p_ps_timer(sc, avp);
+-      }
+-      spin_unlock_irqrestore(&sc->sc_pm_lock, flags);
++      tsf = ath9k_hw_gettsf32(sc->sc_ah);
++      ieee80211_parse_p2p_noa(&vif->bss_conf.p2p_noa_attr, &avp->noa, tsf);
++      ath9k_update_p2p_ps_timer(sc, avp);
+ }
  
-@@ -1711,9 +1867,17 @@ void ath_txq_schedule(struct ath_softc *
-                       list_add_tail(&ac->list, &txq->axq_acq);
-               }
+ static void ath9k_bss_info_changed(struct ieee80211_hw *hw,
+@@ -1791,6 +1785,7 @@ static void ath9k_bss_info_changed(struc
+       struct ath_hw *ah = sc->sc_ah;
+       struct ath_common *common = ath9k_hw_common(ah);
+       struct ath_vif *avp = (void *)vif->drv_priv;
++      unsigned long flags;
+       int slottime;
  
--              if (ac == last_ac ||
--                  txq->axq_ampdu_depth >= ATH_AGGR_MIN_QDEPTH)
-+              if (stop)
-                       break;
-+
-+              if (ac == last_ac) {
-+                      if (!sent)
-+                              break;
-+
-+                      sent = false;
-+                      last_ac = list_entry(txq->axq_acq.prev,
-+                                           struct ath_atx_ac, list);
-+              }
+       ath9k_ps_wakeup(sc);
+@@ -1853,7 +1848,10 @@ static void ath9k_bss_info_changed(struc
+       if (changed & BSS_CHANGED_P2P_PS) {
+               spin_lock_bh(&sc->sc_pcu_lock);
+-              ath9k_update_p2p_ps(sc, vif);
++              spin_lock_irqsave(&sc->sc_pm_lock, flags);
++              if (!(sc->ps_flags & PS_BEACON_SYNC))
++                      ath9k_update_p2p_ps(sc, vif);
++              spin_unlock_irqrestore(&sc->sc_pm_lock, flags);
+               spin_unlock_bh(&sc->sc_pcu_lock);
        }
  
-       rcu_read_unlock();
-@@ -1787,62 +1951,13 @@ static void ath_tx_txqaddbuf(struct ath_
-                       if (bf_is_ampdu_not_probing(bf))
-                               txq->axq_ampdu_depth++;
--                      bf = bf->bf_lastbf->bf_next;
-+                      bf_last = bf->bf_lastbf;
-+                      bf = bf_last->bf_next;
-+                      bf_last->bf_next = NULL;
-               }
-       }
+@@ -2232,14 +2230,6 @@ static void ath9k_sw_scan_complete(struc
+       clear_bit(ATH_OP_SCANNING, &common->op_flags);
  }
  
--static void ath_tx_send_ampdu(struct ath_softc *sc, struct ath_txq *txq,
--                            struct ath_atx_tid *tid, struct sk_buff *skb,
--                            struct ath_tx_control *txctl)
+-static void ath9k_channel_switch_beacon(struct ieee80211_hw *hw,
+-                                      struct ieee80211_vif *vif,
+-                                      struct cfg80211_chan_def *chandef)
 -{
--      struct ath_frame_info *fi = get_frame_info(skb);
--      struct list_head bf_head;
--      struct ath_buf *bf;
+-      /* depend on vif->csa_active only */
+-      return;
+-}
 -
--      /*
--       * Do not queue to h/w when any of the following conditions is true:
--       * - there are pending frames in software queue
--       * - the TID is currently paused for ADDBA/BAR request
--       * - seqno is not within block-ack window
--       * - h/w queue depth exceeds low water mark
--       */
--      if ((!skb_queue_empty(&tid->buf_q) || tid->paused ||
--           !BAW_WITHIN(tid->seq_start, tid->baw_size, tid->seq_next) ||
--           txq->axq_ampdu_depth >= ATH_AGGR_MIN_QDEPTH) &&
--          txq != sc->tx.uapsdq) {
--              /*
--               * Add this frame to software queue for scheduling later
--               * for aggregation.
--               */
--              TX_STAT_INC(txq->axq_qnum, a_queued_sw);
--              __skb_queue_tail(&tid->buf_q, skb);
--              if (!txctl->an || !txctl->an->sleeping)
--                      ath_tx_queue_tid(txq, tid);
--              return;
+ struct ieee80211_ops ath9k_ops = {
+       .tx                 = ath9k_tx,
+       .start              = ath9k_start,
+@@ -2287,5 +2277,4 @@ struct ieee80211_ops ath9k_ops = {
+ #endif
+       .sw_scan_start      = ath9k_sw_scan_start,
+       .sw_scan_complete   = ath9k_sw_scan_complete,
+-      .channel_switch_beacon     = ath9k_channel_switch_beacon,
+ };
+--- a/drivers/net/wireless/ath/ath10k/mac.c
++++ b/drivers/net/wireless/ath/ath10k/mac.c
+@@ -4142,14 +4142,6 @@ static int ath10k_set_bitrate_mask(struc
+                                          fixed_nss, force_sgi);
+ }
+-static void ath10k_channel_switch_beacon(struct ieee80211_hw *hw,
+-                                       struct ieee80211_vif *vif,
+-                                       struct cfg80211_chan_def *chandef)
+-{
+-      /* there's no need to do anything here. vif->csa_active is enough */
+-      return;
+-}
+-
+ static void ath10k_sta_rc_update(struct ieee80211_hw *hw,
+                                struct ieee80211_vif *vif,
+                                struct ieee80211_sta *sta,
+@@ -4256,7 +4248,6 @@ static const struct ieee80211_ops ath10k
+       .restart_complete               = ath10k_restart_complete,
+       .get_survey                     = ath10k_get_survey,
+       .set_bitrate_mask               = ath10k_set_bitrate_mask,
+-      .channel_switch_beacon          = ath10k_channel_switch_beacon,
+       .sta_rc_update                  = ath10k_sta_rc_update,
+       .get_tsf                        = ath10k_get_tsf,
+ #ifdef CONFIG_PM
+--- a/net/mac80211/cfg.c
++++ b/net/mac80211/cfg.c
+@@ -468,327 +468,6 @@ void sta_set_rate_info_rx(struct sta_inf
+               rinfo->flags |= RATE_INFO_FLAGS_160_MHZ_WIDTH;
+ }
+-static void sta_set_sinfo(struct sta_info *sta, struct station_info *sinfo)
+-{
+-      struct ieee80211_sub_if_data *sdata = sta->sdata;
+-      struct ieee80211_local *local = sdata->local;
+-      struct rate_control_ref *ref = local->rate_ctrl;
+-      struct timespec uptime;
+-      u64 packets = 0;
+-      u32 thr = 0;
+-      int i, ac;
+-
+-      sinfo->generation = sdata->local->sta_generation;
+-
+-      sinfo->filled = STATION_INFO_INACTIVE_TIME |
+-                      STATION_INFO_RX_BYTES64 |
+-                      STATION_INFO_TX_BYTES64 |
+-                      STATION_INFO_RX_PACKETS |
+-                      STATION_INFO_TX_PACKETS |
+-                      STATION_INFO_TX_RETRIES |
+-                      STATION_INFO_TX_FAILED |
+-                      STATION_INFO_TX_BITRATE |
+-                      STATION_INFO_RX_BITRATE |
+-                      STATION_INFO_RX_DROP_MISC |
+-                      STATION_INFO_BSS_PARAM |
+-                      STATION_INFO_CONNECTED_TIME |
+-                      STATION_INFO_STA_FLAGS |
+-                      STATION_INFO_BEACON_LOSS_COUNT;
+-
+-      do_posix_clock_monotonic_gettime(&uptime);
+-      sinfo->connected_time = uptime.tv_sec - sta->last_connected;
+-
+-      sinfo->inactive_time = jiffies_to_msecs(jiffies - sta->last_rx);
+-      sinfo->tx_bytes = 0;
+-      for (ac = 0; ac < IEEE80211_NUM_ACS; ac++) {
+-              sinfo->tx_bytes += sta->tx_bytes[ac];
+-              packets += sta->tx_packets[ac];
+-      }
+-      sinfo->tx_packets = packets;
+-      sinfo->rx_bytes = sta->rx_bytes;
+-      sinfo->rx_packets = sta->rx_packets;
+-      sinfo->tx_retries = sta->tx_retry_count;
+-      sinfo->tx_failed = sta->tx_retry_failed;
+-      sinfo->rx_dropped_misc = sta->rx_dropped;
+-      sinfo->beacon_loss_count = sta->beacon_loss_count;
+-
+-      if ((sta->local->hw.flags & IEEE80211_HW_SIGNAL_DBM) ||
+-          (sta->local->hw.flags & IEEE80211_HW_SIGNAL_UNSPEC)) {
+-              sinfo->filled |= STATION_INFO_SIGNAL | STATION_INFO_SIGNAL_AVG;
+-              if (!local->ops->get_rssi ||
+-                  drv_get_rssi(local, sdata, &sta->sta, &sinfo->signal))
+-                      sinfo->signal = (s8)sta->last_signal;
+-              sinfo->signal_avg = (s8) -ewma_read(&sta->avg_signal);
+-      }
+-      if (sta->chains) {
+-              sinfo->filled |= STATION_INFO_CHAIN_SIGNAL |
+-                               STATION_INFO_CHAIN_SIGNAL_AVG;
+-
+-              sinfo->chains = sta->chains;
+-              for (i = 0; i < ARRAY_SIZE(sinfo->chain_signal); i++) {
+-                      sinfo->chain_signal[i] = sta->chain_signal_last[i];
+-                      sinfo->chain_signal_avg[i] =
+-                              (s8) -ewma_read(&sta->chain_signal_avg[i]);
+-              }
 -      }
 -
--      bf = ath_tx_setup_buffer(sc, txq, tid, skb);
--      if (!bf) {
--              ieee80211_free_txskb(sc->hw, skb);
--              return;
+-      sta_set_rate_info_tx(sta, &sta->last_tx_rate, &sinfo->txrate);
+-      sta_set_rate_info_rx(sta, &sinfo->rxrate);
+-
+-      if (ieee80211_vif_is_mesh(&sdata->vif)) {
+-#ifdef CPTCFG_MAC80211_MESH
+-              sinfo->filled |= STATION_INFO_LLID |
+-                               STATION_INFO_PLID |
+-                               STATION_INFO_PLINK_STATE |
+-                               STATION_INFO_LOCAL_PM |
+-                               STATION_INFO_PEER_PM |
+-                               STATION_INFO_NONPEER_PM;
+-
+-              sinfo->llid = sta->llid;
+-              sinfo->plid = sta->plid;
+-              sinfo->plink_state = sta->plink_state;
+-              if (test_sta_flag(sta, WLAN_STA_TOFFSET_KNOWN)) {
+-                      sinfo->filled |= STATION_INFO_T_OFFSET;
+-                      sinfo->t_offset = sta->t_offset;
+-              }
+-              sinfo->local_pm = sta->local_pm;
+-              sinfo->peer_pm = sta->peer_pm;
+-              sinfo->nonpeer_pm = sta->nonpeer_pm;
+-#endif
+-      }
+-
+-      sinfo->bss_param.flags = 0;
+-      if (sdata->vif.bss_conf.use_cts_prot)
+-              sinfo->bss_param.flags |= BSS_PARAM_FLAGS_CTS_PROT;
+-      if (sdata->vif.bss_conf.use_short_preamble)
+-              sinfo->bss_param.flags |= BSS_PARAM_FLAGS_SHORT_PREAMBLE;
+-      if (sdata->vif.bss_conf.use_short_slot)
+-              sinfo->bss_param.flags |= BSS_PARAM_FLAGS_SHORT_SLOT_TIME;
+-      sinfo->bss_param.dtim_period = sdata->local->hw.conf.ps_dtim_period;
+-      sinfo->bss_param.beacon_interval = sdata->vif.bss_conf.beacon_int;
+-
+-      sinfo->sta_flags.set = 0;
+-      sinfo->sta_flags.mask = BIT(NL80211_STA_FLAG_AUTHORIZED) |
+-                              BIT(NL80211_STA_FLAG_SHORT_PREAMBLE) |
+-                              BIT(NL80211_STA_FLAG_WME) |
+-                              BIT(NL80211_STA_FLAG_MFP) |
+-                              BIT(NL80211_STA_FLAG_AUTHENTICATED) |
+-                              BIT(NL80211_STA_FLAG_ASSOCIATED) |
+-                              BIT(NL80211_STA_FLAG_TDLS_PEER);
+-      if (test_sta_flag(sta, WLAN_STA_AUTHORIZED))
+-              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_AUTHORIZED);
+-      if (test_sta_flag(sta, WLAN_STA_SHORT_PREAMBLE))
+-              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_SHORT_PREAMBLE);
+-      if (test_sta_flag(sta, WLAN_STA_WME))
+-              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_WME);
+-      if (test_sta_flag(sta, WLAN_STA_MFP))
+-              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_MFP);
+-      if (test_sta_flag(sta, WLAN_STA_AUTH))
+-              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_AUTHENTICATED);
+-      if (test_sta_flag(sta, WLAN_STA_ASSOC))
+-              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_ASSOCIATED);
+-      if (test_sta_flag(sta, WLAN_STA_TDLS_PEER))
+-              sinfo->sta_flags.set |= BIT(NL80211_STA_FLAG_TDLS_PEER);
+-
+-      /* check if the driver has a SW RC implementation */
+-      if (ref && ref->ops->get_expected_throughput)
+-              thr = ref->ops->get_expected_throughput(sta->rate_ctrl_priv);
+-      else
+-              thr = drv_get_expected_throughput(local, &sta->sta);
+-
+-      if (thr != 0) {
+-              sinfo->filled |= STATION_INFO_EXPECTED_THROUGHPUT;
+-              sinfo->expected_throughput = thr;
 -      }
+-}
+-
+-static const char ieee80211_gstrings_sta_stats[][ETH_GSTRING_LEN] = {
+-      "rx_packets", "rx_bytes", "wep_weak_iv_count",
+-      "rx_duplicates", "rx_fragments", "rx_dropped",
+-      "tx_packets", "tx_bytes", "tx_fragments",
+-      "tx_filtered", "tx_retry_failed", "tx_retries",
+-      "beacon_loss", "sta_state", "txrate", "rxrate", "signal",
+-      "channel", "noise", "ch_time", "ch_time_busy",
+-      "ch_time_ext_busy", "ch_time_rx", "ch_time_tx"
+-};
+-#define STA_STATS_LEN ARRAY_SIZE(ieee80211_gstrings_sta_stats)
+-
+-static int ieee80211_get_et_sset_count(struct wiphy *wiphy,
+-                                     struct net_device *dev,
+-                                     int sset)
+-{
+-      struct ieee80211_sub_if_data *sdata = IEEE80211_DEV_TO_SUB_IF(dev);
+-      int rv = 0;
 -
--      ath_set_rates(tid->an->vif, tid->an->sta, bf);
--      bf->bf_state.bf_type = BUF_AMPDU;
--      INIT_LIST_HEAD(&bf_head);
--      list_add(&bf->list, &bf_head);
+-      if (sset == ETH_SS_STATS)
+-              rv += STA_STATS_LEN;
 -
--      /* Add sub-frame to BAW */
--      ath_tx_addto_baw(sc, tid, bf->bf_state.seqno);
+-      rv += drv_get_et_sset_count(sdata, sset);
 -
--      /* Queue to h/w without aggregation */
--      TX_STAT_INC(txq->axq_qnum, a_queued_hw);
--      bf->bf_lastbf = bf;
--      ath_tx_fill_desc(sc, bf, txq, fi->framelen);
--      ath_tx_txqaddbuf(sc, txq, &bf_head, false);
+-      if (rv == 0)
+-              return -EOPNOTSUPP;
+-      return rv;
 -}
 -
- static void ath_tx_send_normal(struct ath_softc *sc, struct ath_txq *txq,
-                              struct ath_atx_tid *tid, struct sk_buff *skb)
- {
-@@ -1985,6 +2100,7 @@ static int ath_tx_prepare(struct ieee802
-       struct ieee80211_tx_info *info = IEEE80211_SKB_CB(skb);
-       struct ieee80211_sta *sta = txctl->sta;
-       struct ieee80211_vif *vif = info->control.vif;
-+      struct ath_vif *avp;
-       struct ath_softc *sc = hw->priv;
-       int frmlen = skb->len + FCS_LEN;
-       int padpos, padsize;
-@@ -1992,6 +2108,10 @@ static int ath_tx_prepare(struct ieee802
-       /* NOTE:  sta can be NULL according to net/mac80211.h */
-       if (sta)
-               txctl->an = (struct ath_node *)sta->drv_priv;
-+      else if (vif && ieee80211_is_data(hdr->frame_control)) {
-+              avp = (void *)vif->drv_priv;
-+              txctl->an = &avp->mcast_node;
-+      }
-       if (info->control.hw_key)
-               frmlen += info->control.hw_key->icv_len;
-@@ -2041,7 +2161,6 @@ int ath_tx_start(struct ieee80211_hw *hw
-       struct ath_txq *txq = txctl->txq;
-       struct ath_atx_tid *tid = NULL;
-       struct ath_buf *bf;
--      u8 tidno;
-       int q;
-       int ret;
-@@ -2069,27 +2188,31 @@ int ath_tx_start(struct ieee80211_hw *hw
-               ath_txq_unlock(sc, txq);
-               txq = sc->tx.uapsdq;
-               ath_txq_lock(sc, txq);
+-static void ieee80211_get_et_stats(struct wiphy *wiphy,
+-                                 struct net_device *dev,
+-                                 struct ethtool_stats *stats,
+-                                 u64 *data)
+-{
+-      struct ieee80211_sub_if_data *sdata = IEEE80211_DEV_TO_SUB_IF(dev);
+-      struct ieee80211_chanctx_conf *chanctx_conf;
+-      struct ieee80211_channel *channel;
+-      struct sta_info *sta;
+-      struct ieee80211_local *local = sdata->local;
+-      struct station_info sinfo;
+-      struct survey_info survey;
+-      int i, q;
+-#define STA_STATS_SURVEY_LEN 7
+-
+-      memset(data, 0, sizeof(u64) * STA_STATS_LEN);
+-
+-#define ADD_STA_STATS(sta)                            \
+-      do {                                            \
+-              data[i++] += sta->rx_packets;           \
+-              data[i++] += sta->rx_bytes;             \
+-              data[i++] += sta->wep_weak_iv_count;    \
+-              data[i++] += sta->num_duplicates;       \
+-              data[i++] += sta->rx_fragments;         \
+-              data[i++] += sta->rx_dropped;           \
+-                                                      \
+-              data[i++] += sinfo.tx_packets;          \
+-              data[i++] += sinfo.tx_bytes;            \
+-              data[i++] += sta->tx_fragments;         \
+-              data[i++] += sta->tx_filtered_count;    \
+-              data[i++] += sta->tx_retry_failed;      \
+-              data[i++] += sta->tx_retry_count;       \
+-              data[i++] += sta->beacon_loss_count;    \
+-      } while (0)
+-
+-      /* For Managed stations, find the single station based on BSSID
+-       * and use that.  For interface types, iterate through all available
+-       * stations and add stats for any station that is assigned to this
+-       * network device.
+-       */
+-
+-      mutex_lock(&local->sta_mtx);
+-
+-      if (sdata->vif.type == NL80211_IFTYPE_STATION) {
+-              sta = sta_info_get_bss(sdata, sdata->u.mgd.bssid);
+-
+-              if (!(sta && !WARN_ON(sta->sdata->dev != dev)))
+-                      goto do_survey;
+-
+-              sinfo.filled = 0;
+-              sta_set_sinfo(sta, &sinfo);
+-
+-              i = 0;
+-              ADD_STA_STATS(sta);
+-
+-              data[i++] = sta->sta_state;
+-
+-
+-              if (sinfo.filled & STATION_INFO_TX_BITRATE)
+-                      data[i] = 100000 *
+-                              cfg80211_calculate_bitrate(&sinfo.txrate);
+-              i++;
+-              if (sinfo.filled & STATION_INFO_RX_BITRATE)
+-                      data[i] = 100000 *
+-                              cfg80211_calculate_bitrate(&sinfo.rxrate);
+-              i++;
+-
+-              if (sinfo.filled & STATION_INFO_SIGNAL_AVG)
+-                      data[i] = (u8)sinfo.signal_avg;
+-              i++;
+-      } else {
+-              list_for_each_entry(sta, &local->sta_list, list) {
+-                      /* Make sure this station belongs to the proper dev */
+-                      if (sta->sdata->dev != dev)
+-                              continue;
+-
+-                      sinfo.filled = 0;
+-                      sta_set_sinfo(sta, &sinfo);
+-                      i = 0;
+-                      ADD_STA_STATS(sta);
+-              }
 -      }
 -
--      if (txctl->an && ieee80211_is_data_qos(hdr->frame_control)) {
--              tidno = ieee80211_get_qos_ctl(hdr)[0] &
--                      IEEE80211_QOS_CTL_TID_MASK;
--              tid = ATH_AN_2_TID(txctl->an, tidno);
-+      } else if (txctl->an &&
-+                 ieee80211_is_data_present(hdr->frame_control)) {
-+              tid = ath_get_skb_tid(sc, txctl->an, skb);
-               WARN_ON(tid->ac->txq != txctl->txq);
+-do_survey:
+-      i = STA_STATS_LEN - STA_STATS_SURVEY_LEN;
+-      /* Get survey stats for current channel */
+-      survey.filled = 0;
+-
+-      rcu_read_lock();
+-      chanctx_conf = rcu_dereference(sdata->vif.chanctx_conf);
+-      if (chanctx_conf)
+-              channel = chanctx_conf->def.chan;
+-      else
+-              channel = NULL;
+-      rcu_read_unlock();
+-
+-      if (channel) {
+-              q = 0;
+-              do {
+-                      survey.filled = 0;
+-                      if (drv_get_survey(local, q, &survey) != 0) {
+-                              survey.filled = 0;
+-                              break;
+-                      }
+-                      q++;
+-              } while (channel != survey.channel);
 -      }
--      if ((info->flags & IEEE80211_TX_CTL_AMPDU) && tid) {
-+              if (info->flags & IEEE80211_TX_CTL_CLEAR_PS_FILT)
-+                      tid->ac->clear_ps_filter = true;
-+
-               /*
--               * Try aggregation if it's a unicast data frame
--               * and the destination is HT capable.
-+               * Add this frame to software queue for scheduling later
-+               * for aggregation.
-                */
--              ath_tx_send_ampdu(sc, txq, tid, skb, txctl);
-+              TX_STAT_INC(txq->axq_qnum, a_queued_sw);
-+              __skb_queue_tail(&tid->buf_q, skb);
-+              if (!txctl->an->sleeping)
-+                      ath_tx_queue_tid(txq, tid);
-+
-+              ath_txq_schedule(sc, txq);
-               goto out;
-       }
-       bf = ath_tx_setup_buffer(sc, txq, tid, skb);
-       if (!bf) {
-+              ath_txq_skb_done(sc, txq, skb);
-               if (txctl->paprd)
-                       dev_kfree_skb_any(skb);
-               else
-@@ -2142,7 +2265,7 @@ void ath_tx_cabq(struct ieee80211_hw *hw
-               bf->bf_lastbf = bf;
-               ath_set_rates(vif, NULL, bf);
--              ath_buf_set_rate(sc, bf, &info, fi->framelen);
-+              ath_buf_set_rate(sc, bf, &info, fi->framelen, false);
-               duration += info.rates[0].PktDuration;
-               if (bf_tail)
-                       bf_tail->bf_next = bf;
-@@ -2189,7 +2312,7 @@ static void ath_tx_complete(struct ath_s
-       struct ieee80211_tx_info *tx_info = IEEE80211_SKB_CB(skb);
-       struct ath_common *common = ath9k_hw_common(sc->sc_ah);
-       struct ieee80211_hdr * hdr = (struct ieee80211_hdr *)skb->data;
--      int q, padpos, padsize;
-+      int padpos, padsize;
-       unsigned long flags;
-       ath_dbg(common, XMIT, "TX complete: skb: %p\n", skb);
-@@ -2225,21 +2348,7 @@ static void ath_tx_complete(struct ath_s
-       spin_unlock_irqrestore(&sc->sc_pm_lock, flags);
-       __skb_queue_tail(&txq->complete_q, skb);
 -
--      q = skb_get_queue_mapping(skb);
--      if (txq == sc->tx.uapsdq)
--              txq = sc->tx.txq_map[q];
+-      if (survey.filled)
+-              data[i++] = survey.channel->center_freq;
+-      else
+-              data[i++] = 0;
+-      if (survey.filled & SURVEY_INFO_NOISE_DBM)
+-              data[i++] = (u8)survey.noise;
+-      else
+-              data[i++] = -1LL;
+-      if (survey.filled & SURVEY_INFO_CHANNEL_TIME)
+-              data[i++] = survey.channel_time;
+-      else
+-              data[i++] = -1LL;
+-      if (survey.filled & SURVEY_INFO_CHANNEL_TIME_BUSY)
+-              data[i++] = survey.channel_time_busy;
+-      else
+-              data[i++] = -1LL;
+-      if (survey.filled & SURVEY_INFO_CHANNEL_TIME_EXT_BUSY)
+-              data[i++] = survey.channel_time_ext_busy;
+-      else
+-              data[i++] = -1LL;
+-      if (survey.filled & SURVEY_INFO_CHANNEL_TIME_RX)
+-              data[i++] = survey.channel_time_rx;
+-      else
+-              data[i++] = -1LL;
+-      if (survey.filled & SURVEY_INFO_CHANNEL_TIME_TX)
+-              data[i++] = survey.channel_time_tx;
+-      else
+-              data[i++] = -1LL;
 -
--      if (txq == sc->tx.txq_map[q]) {
--              if (WARN_ON(--txq->pending_frames < 0))
--                      txq->pending_frames = 0;
+-      mutex_unlock(&local->sta_mtx);
 -
--              if (txq->stopped &&
--                  txq->pending_frames < sc->tx.txq_max_pending[q]) {
--                      ieee80211_wake_queue(sc->hw, q);
--                      txq->stopped = false;
--              }
+-      if (WARN_ON(i != STA_STATS_LEN))
+-              return;
+-
+-      drv_get_et_stats(sdata, stats, &(data[STA_STATS_LEN]));
+-}
+-
+-static void ieee80211_get_et_strings(struct wiphy *wiphy,
+-                                   struct net_device *dev,
+-                                   u32 sset, u8 *data)
+-{
+-      struct ieee80211_sub_if_data *sdata = IEEE80211_DEV_TO_SUB_IF(dev);
+-      int sz_sta_stats = 0;
+-
+-      if (sset == ETH_SS_STATS) {
+-              sz_sta_stats = sizeof(ieee80211_gstrings_sta_stats);
+-              memcpy(data, ieee80211_gstrings_sta_stats, sz_sta_stats);
 -      }
-+      ath_txq_skb_done(sc, txq, skb);
+-      drv_get_et_strings(sdata, sset, &(data[sz_sta_stats]));
+-}
+-
+ static int ieee80211_dump_station(struct wiphy *wiphy, struct net_device *dev,
+                                 int idx, u8 *mac, struct station_info *sinfo)
+ {
+@@ -875,7 +554,8 @@ static int ieee80211_set_monitor_channel
  }
  
- static void ath_tx_complete_buf(struct ath_softc *sc, struct ath_buf *bf,
-@@ -2360,8 +2469,7 @@ static void ath_tx_processq(struct ath_s
-               if (list_empty(&txq->axq_q)) {
-                       txq->axq_link = NULL;
--                      if (sc->sc_ah->caps.hw_caps & ATH9K_HW_CAP_HT)
--                              ath_txq_schedule(sc, txq);
-+                      ath_txq_schedule(sc, txq);
-                       break;
-               }
-               bf = list_first_entry(&txq->axq_q, struct ath_buf, list);
-@@ -2375,7 +2483,7 @@ static void ath_tx_processq(struct ath_s
-                * it with the STALE flag.
-                */
-               bf_held = NULL;
--              if (bf->bf_stale) {
-+              if (bf->bf_state.stale) {
-                       bf_held = bf;
-                       if (list_is_last(&bf_held->list, &txq->axq_q))
-                               break;
-@@ -2399,7 +2507,7 @@ static void ath_tx_processq(struct ath_s
-                * however leave the last descriptor back as the holding
-                * descriptor for hw.
-                */
--              lastbf->bf_stale = true;
-+              lastbf->bf_state.stale = true;
-               INIT_LIST_HEAD(&bf_head);
-               if (!list_is_singular(&lastbf->list))
-                       list_cut_position(&bf_head,
-@@ -2470,7 +2578,7 @@ void ath_tx_edma_tasklet(struct ath_soft
-               }
-               bf = list_first_entry(fifo_list, struct ath_buf, list);
--              if (bf->bf_stale) {
-+              if (bf->bf_state.stale) {
-                       list_del(&bf->list);
-                       ath_tx_return_buffer(sc, bf);
-                       bf = list_first_entry(fifo_list, struct ath_buf, list);
-@@ -2492,7 +2600,7 @@ void ath_tx_edma_tasklet(struct ath_soft
-                               ath_tx_txqaddbuf(sc, txq, &bf_q, true);
-                       }
-               } else {
--                      lastbf->bf_stale = true;
-+                      lastbf->bf_state.stale = true;
-                       if (bf != lastbf)
-                               list_cut_position(&bf_head, fifo_list,
-                                                 lastbf->list.prev);
-@@ -2583,6 +2691,7 @@ void ath_tx_node_init(struct ath_softc *
-               tid->paused    = false;
-               tid->active        = false;
-               __skb_queue_head_init(&tid->buf_q);
-+              __skb_queue_head_init(&tid->retry_q);
-               acno = TID_TO_WME_AC(tidno);
-               tid->ac = &an->ac[acno];
-       }
-@@ -2590,6 +2699,7 @@ void ath_tx_node_init(struct ath_softc *
-       for (acno = 0, ac = &an->ac[acno];
-            acno < IEEE80211_NUM_ACS; acno++, ac++) {
-               ac->sched    = false;
-+              ac->clear_ps_filter = true;
-               ac->txq = sc->tx.txq_map[acno];
-               INIT_LIST_HEAD(&ac->tid_q);
-       }
---- a/drivers/net/wireless/ath/ath9k/main.c
-+++ b/drivers/net/wireless/ath/ath9k/main.c
-@@ -173,8 +173,7 @@ static void ath_restart_work(struct ath_
+ static int ieee80211_set_probe_resp(struct ieee80211_sub_if_data *sdata,
+-                                  const u8 *resp, size_t resp_len)
++                                  const u8 *resp, size_t resp_len,
++                                  const struct ieee80211_csa_settings *csa)
  {
-       ieee80211_queue_delayed_work(sc->hw, &sc->tx_complete_work, 0);
--      if (AR_SREV_9340(sc->sc_ah) || AR_SREV_9485(sc->sc_ah) ||
--          AR_SREV_9550(sc->sc_ah))
-+      if (AR_SREV_9340(sc->sc_ah) || AR_SREV_9330(sc->sc_ah))
-               ieee80211_queue_delayed_work(sc->hw, &sc->hw_pll_work,
-                                    msecs_to_jiffies(ATH_PLL_WORK_INTERVAL));
-@@ -238,9 +237,6 @@ static bool ath_complete_reset(struct at
-               ath_restart_work(sc);
-       }
--      if ((ah->caps.hw_caps & ATH9K_HW_CAP_ANT_DIV_COMB) && sc->ant_rx != 3)
--              ath_ant_comb_update(sc);
--
-       ieee80211_wake_queues(sc->hw);
-       return true;
-@@ -966,6 +962,8 @@ static int ath9k_add_interface(struct ie
-       struct ath_softc *sc = hw->priv;
-       struct ath_hw *ah = sc->sc_ah;
-       struct ath_common *common = ath9k_hw_common(ah);
-+      struct ath_vif *avp = (void *)vif->drv_priv;
-+      struct ath_node *an = &avp->mcast_node;
-       mutex_lock(&sc->mutex);
+       struct probe_resp *new, *old;
  
-@@ -979,6 +977,12 @@ static int ath9k_add_interface(struct ie
-       if (ath9k_uses_beacons(vif->type))
-               ath9k_beacon_assign_slot(sc, vif);
+@@ -891,6 +571,11 @@ static int ieee80211_set_probe_resp(stru
+       new->len = resp_len;
+       memcpy(new->data, resp, resp_len);
  
-+      an->sc = sc;
-+      an->sta = NULL;
-+      an->vif = vif;
-+      an->no_ps_filter = true;
-+      ath_tx_node_init(sc, an);
++      if (csa)
++              memcpy(new->csa_counter_offsets, csa->counter_offsets_presp,
++                     csa->n_counter_offsets_presp *
++                     sizeof(new->csa_counter_offsets[0]));
 +
-       mutex_unlock(&sc->mutex);
-       return 0;
+       rcu_assign_pointer(sdata->u.ap.probe_resp, new);
+       if (old)
+               kfree_rcu(old, rcu_head);
+@@ -899,7 +584,8 @@ static int ieee80211_set_probe_resp(stru
  }
-@@ -1016,6 +1020,7 @@ static void ath9k_remove_interface(struc
+ static int ieee80211_assign_beacon(struct ieee80211_sub_if_data *sdata,
+-                                 struct cfg80211_beacon_data *params)
++                                 struct cfg80211_beacon_data *params,
++                                 const struct ieee80211_csa_settings *csa)
  {
-       struct ath_softc *sc = hw->priv;
-       struct ath_common *common = ath9k_hw_common(sc->sc_ah);
-+      struct ath_vif *avp = (void *)vif->drv_priv;
+       struct beacon_data *new, *old;
+       int new_head_len, new_tail_len;
+@@ -943,6 +629,13 @@ static int ieee80211_assign_beacon(struc
+       new->head_len = new_head_len;
+       new->tail_len = new_tail_len;
++      if (csa) {
++              new->csa_current_counter = csa->count;
++              memcpy(new->csa_counter_offsets, csa->counter_offsets_beacon,
++                     csa->n_counter_offsets_beacon *
++                     sizeof(new->csa_counter_offsets[0]));
++      }
++
+       /* copy in head */
+       if (params->head)
+               memcpy(new->head, params->head, new_head_len);
+@@ -957,7 +650,7 @@ static int ieee80211_assign_beacon(struc
+                       memcpy(new->tail, old->tail, new_tail_len);
+       err = ieee80211_set_probe_resp(sdata, params->probe_resp,
+-                                     params->probe_resp_len);
++                                     params->probe_resp_len, csa);
+       if (err < 0)
+               return err;
+       if (err == 0)
+@@ -1042,7 +735,7 @@ static int ieee80211_start_ap(struct wip
+               sdata->vif.bss_conf.p2p_noa_attr.oppps_ctwindow |=
+                                       IEEE80211_P2P_OPPPS_ENABLE_BIT;
+-      err = ieee80211_assign_beacon(sdata, &params->beacon);
++      err = ieee80211_assign_beacon(sdata, &params->beacon, NULL);
+       if (err < 0) {
+               ieee80211_vif_release_channel(sdata);
+               return err;
+@@ -1090,7 +783,7 @@ static int ieee80211_change_beacon(struc
+       if (!old)
+               return -ENOENT;
  
-       ath_dbg(common, CONFIG, "Detach Interface\n");
+-      err = ieee80211_assign_beacon(sdata, params);
++      err = ieee80211_assign_beacon(sdata, params, NULL);
+       if (err < 0)
+               return err;
+       ieee80211_bss_info_change_notify(sdata, err);
+@@ -3073,7 +2766,8 @@ static int ieee80211_set_after_csa_beaco
  
-@@ -1030,6 +1035,8 @@ static void ath9k_remove_interface(struc
-       ath9k_calculate_summary_state(hw, NULL);
-       ath9k_ps_restore(sc);
+       switch (sdata->vif.type) {
+       case NL80211_IFTYPE_AP:
+-              err = ieee80211_assign_beacon(sdata, sdata->u.ap.next_beacon);
++              err = ieee80211_assign_beacon(sdata, sdata->u.ap.next_beacon,
++                                            NULL);
+               kfree(sdata->u.ap.next_beacon);
+               sdata->u.ap.next_beacon = NULL;
+@@ -3176,6 +2870,7 @@ static int ieee80211_set_csa_beacon(stru
+                                   struct cfg80211_csa_settings *params,
+                                   u32 *changed)
+ {
++      struct ieee80211_csa_settings csa = {};
+       int err;
+       switch (sdata->vif.type) {
+@@ -3210,20 +2905,13 @@ static int ieee80211_set_csa_beacon(stru
+                    IEEE80211_MAX_CSA_COUNTERS_NUM))
+                       return -EINVAL;
+-              /* make sure we don't have garbage in other counters */
+-              memset(sdata->csa_counter_offset_beacon, 0,
+-                     sizeof(sdata->csa_counter_offset_beacon));
+-              memset(sdata->csa_counter_offset_presp, 0,
+-                     sizeof(sdata->csa_counter_offset_presp));
+-
+-              memcpy(sdata->csa_counter_offset_beacon,
+-                     params->counter_offsets_beacon,
+-                     params->n_counter_offsets_beacon * sizeof(u16));
+-              memcpy(sdata->csa_counter_offset_presp,
+-                     params->counter_offsets_presp,
+-                     params->n_counter_offsets_presp * sizeof(u16));
++              csa.counter_offsets_beacon = params->counter_offsets_beacon;
++              csa.counter_offsets_presp = params->counter_offsets_presp;
++              csa.n_counter_offsets_beacon = params->n_counter_offsets_beacon;
++              csa.n_counter_offsets_presp = params->n_counter_offsets_presp;
++              csa.count = params->count;
+-              err = ieee80211_assign_beacon(sdata, &params->beacon_csa);
++              err = ieee80211_assign_beacon(sdata, &params->beacon_csa, &csa);
+               if (err < 0) {
+                       kfree(sdata->u.ap.next_beacon);
+                       return err;
+@@ -3367,7 +3055,6 @@ __ieee80211_channel_switch(struct wiphy 
+       sdata->csa_radar_required = params->radar_required;
+       sdata->csa_chandef = params->chandef;
+       sdata->csa_block_tx = params->block_tx;
+-      sdata->csa_current_counter = params->count;
+       sdata->vif.csa_active = true;
+       if (sdata->csa_block_tx)
+@@ -3515,10 +3202,23 @@ static int ieee80211_mgmt_tx(struct wiph
+            sdata->vif.type == NL80211_IFTYPE_ADHOC) &&
+           params->n_csa_offsets) {
+               int i;
+-              u8 c = sdata->csa_current_counter;
++              struct beacon_data *beacon = NULL;
++
++              rcu_read_lock();
  
-+      ath_tx_node_cleanup(sc, &avp->mcast_node);
+-              for (i = 0; i < params->n_csa_offsets; i++)
+-                      data[params->csa_offsets[i]] = c;
++              if (sdata->vif.type == NL80211_IFTYPE_AP)
++                      beacon = rcu_dereference(sdata->u.ap.beacon);
++              else if (sdata->vif.type == NL80211_IFTYPE_ADHOC)
++                      beacon = rcu_dereference(sdata->u.ibss.presp);
++              else if (ieee80211_vif_is_mesh(&sdata->vif))
++                      beacon = rcu_dereference(sdata->u.mesh.beacon);
 +
-       mutex_unlock(&sc->mutex);
- }
++              if (beacon)
++                      for (i = 0; i < params->n_csa_offsets; i++)
++                              data[params->csa_offsets[i]] =
++                                      beacon->csa_current_counter;
++
++              rcu_read_unlock();
+       }
  
-@@ -1193,8 +1200,6 @@ static int ath9k_config(struct ieee80211
-       if ((changed & IEEE80211_CONF_CHANGE_CHANNEL) || reset_channel) {
-               struct ieee80211_channel *curchan = hw->conf.chandef.chan;
--              enum nl80211_channel_type channel_type =
--                      cfg80211_get_chandef_type(&conf->chandef);
-               int pos = curchan->hw_value;
-               int old_pos = -1;
-               unsigned long flags;
-@@ -1202,8 +1207,8 @@ static int ath9k_config(struct ieee80211
-               if (ah->curchan)
-                       old_pos = ah->curchan - &ah->channels[0];
--              ath_dbg(common, CONFIG, "Set channel: %d MHz type: %d\n",
--                      curchan->center_freq, channel_type);
-+              ath_dbg(common, CONFIG, "Set channel: %d MHz width: %d\n",
-+                      curchan->center_freq, hw->conf.chandef.width);
-               /* update survey stats for the old channel before switching */
-               spin_lock_irqsave(&common->cc_lock, flags);
-@@ -1211,7 +1216,7 @@ static int ath9k_config(struct ieee80211
-               spin_unlock_irqrestore(&common->cc_lock, flags);
-               ath9k_cmn_update_ichannel(&sc->sc_ah->channels[pos],
--                                        curchan, channel_type);
-+                                        &conf->chandef);
-               /*
-                * If the operating channel changes, change the survey in-use flags
-@@ -1374,9 +1379,6 @@ static void ath9k_sta_notify(struct ieee
-       struct ath_softc *sc = hw->priv;
-       struct ath_node *an = (struct ath_node *) sta->drv_priv;
+       IEEE80211_SKB_CB(skb)->flags = flags;
+@@ -3598,21 +3298,6 @@ static int ieee80211_get_antenna(struct 
+       return drv_get_antenna(local, tx_ant, rx_ant);
+ }
  
--      if (!sta->ht_cap.ht_supported)
--              return;
+-static int ieee80211_set_ringparam(struct wiphy *wiphy, u32 tx, u32 rx)
+-{
+-      struct ieee80211_local *local = wiphy_priv(wiphy);
 -
-       switch (cmd) {
-       case STA_NOTIFY_SLEEP:
-               an->sleeping = true;
-@@ -2094,7 +2096,7 @@ static void ath9k_wow_add_pattern(struct
- {
-       struct ath_hw *ah = sc->sc_ah;
-       struct ath9k_wow_pattern *wow_pattern = NULL;
--      struct cfg80211_wowlan_trig_pkt_pattern *patterns = wowlan->patterns;
-+      struct cfg80211_pkt_pattern *patterns = wowlan->patterns;
-       int mask_len;
-       s8 i = 0;
---- a/drivers/net/wireless/mwifiex/cfg80211.c
-+++ b/drivers/net/wireless/mwifiex/cfg80211.c
-@@ -2298,8 +2298,7 @@ EXPORT_SYMBOL_GPL(mwifiex_del_virtual_in
- #ifdef CONFIG_PM
- static bool
--mwifiex_is_pattern_supported(struct cfg80211_wowlan_trig_pkt_pattern *pat,
--                           s8 *byte_seq)
-+mwifiex_is_pattern_supported(struct cfg80211_pkt_pattern *pat, s8 *byte_seq)
- {
-       int j, k, valid_byte_cnt = 0;
-       bool dont_care_byte = false;
---- a/drivers/net/wireless/ti/wlcore/main.c
-+++ b/drivers/net/wireless/ti/wlcore/main.c
-@@ -1315,7 +1315,7 @@ static struct sk_buff *wl12xx_alloc_dumm
- #ifdef CONFIG_PM
- static int
--wl1271_validate_wowlan_pattern(struct cfg80211_wowlan_trig_pkt_pattern *p)
-+wl1271_validate_wowlan_pattern(struct cfg80211_pkt_pattern *p)
- {
-       int num_fields = 0, in_field = 0, fields_size = 0;
-       int i, pattern_len = 0;
-@@ -1458,9 +1458,9 @@ void wl1271_rx_filter_flatten_fields(str
-  * Allocates an RX filter returned through f
-  * which needs to be freed using rx_filter_free()
-  */
--static int wl1271_convert_wowlan_pattern_to_rx_filter(
--      struct cfg80211_wowlan_trig_pkt_pattern *p,
--      struct wl12xx_rx_filter **f)
-+static int
-+wl1271_convert_wowlan_pattern_to_rx_filter(struct cfg80211_pkt_pattern *p,
-+                                         struct wl12xx_rx_filter **f)
- {
-       int i, j, ret = 0;
-       struct wl12xx_rx_filter *filter;
-@@ -1562,7 +1562,7 @@ static int wl1271_configure_wowlan(struc
+-      return drv_set_ringparam(local, tx, rx);
+-}
+-
+-static void ieee80211_get_ringparam(struct wiphy *wiphy,
+-                                  u32 *tx, u32 *tx_max, u32 *rx, u32 *rx_max)
+-{
+-      struct ieee80211_local *local = wiphy_priv(wiphy);
+-
+-      drv_get_ringparam(local, tx, tx_max, rx, rx_max);
+-}
+-
+ static int ieee80211_set_rekey_data(struct wiphy *wiphy,
+                                   struct net_device *dev,
+                                   struct cfg80211_gtk_rekey_data *data)
+@@ -3844,8 +3529,6 @@ const struct cfg80211_ops mac80211_confi
+       .mgmt_frame_register = ieee80211_mgmt_frame_register,
+       .set_antenna = ieee80211_set_antenna,
+       .get_antenna = ieee80211_get_antenna,
+-      .set_ringparam = ieee80211_set_ringparam,
+-      .get_ringparam = ieee80211_get_ringparam,
+       .set_rekey_data = ieee80211_set_rekey_data,
+       .tdls_oper = ieee80211_tdls_oper,
+       .tdls_mgmt = ieee80211_tdls_mgmt,
+@@ -3854,9 +3537,6 @@ const struct cfg80211_ops mac80211_confi
+ #ifdef CONFIG_PM
+       .set_wakeup = ieee80211_set_wakeup,
+ #endif
+-      .get_et_sset_count = ieee80211_get_et_sset_count,
+-      .get_et_stats = ieee80211_get_et_stats,
+-      .get_et_strings = ieee80211_get_et_strings,
+       .get_channel = ieee80211_cfg_get_channel,
+       .start_radar_detection = ieee80211_start_radar_detection,
+       .channel_switch = ieee80211_channel_switch,
+--- a/net/mac80211/debugfs_sta.c
++++ b/net/mac80211/debugfs_sta.c
+@@ -587,7 +587,6 @@ void ieee80211_sta_debugfs_add(struct st
+       DEBUGFS_ADD_COUNTER(tx_filtered, tx_filtered_count);
+       DEBUGFS_ADD_COUNTER(tx_retry_failed, tx_retry_failed);
+       DEBUGFS_ADD_COUNTER(tx_retry_count, tx_retry_count);
+-      DEBUGFS_ADD_COUNTER(wep_weak_iv_count, wep_weak_iv_count);
  
-       /* Translate WoWLAN patterns into filters */
-       for (i = 0; i < wow->n_patterns; i++) {
--              struct cfg80211_wowlan_trig_pkt_pattern *p;
-+              struct cfg80211_pkt_pattern *p;
-               struct wl12xx_rx_filter *filter = NULL;
+       if (sizeof(sta->driver_buffered_tids) == sizeof(u32))
+               debugfs_create_x32("driver_buffered_tids", 0400,
+--- a/net/mac80211/wep.c
++++ b/net/mac80211/wep.c
+@@ -271,22 +271,6 @@ static int ieee80211_wep_decrypt(struct 
+       return ret;
+ }
  
-               p = &wow->patterns[i];
+-
+-static bool ieee80211_wep_is_weak_iv(struct sk_buff *skb,
+-                                   struct ieee80211_key *key)
+-{
+-      struct ieee80211_hdr *hdr = (struct ieee80211_hdr *)skb->data;
+-      unsigned int hdrlen;
+-      u8 *ivpos;
+-      u32 iv;
+-
+-      hdrlen = ieee80211_hdrlen(hdr->frame_control);
+-      ivpos = skb->data + hdrlen;
+-      iv = (ivpos[0] << 16) | (ivpos[1] << 8) | ivpos[2];
+-
+-      return ieee80211_wep_weak_iv(iv, key->conf.keylen);
+-}
+-
+ ieee80211_rx_result
+ ieee80211_crypto_wep_decrypt(struct ieee80211_rx_data *rx)
+ {
+@@ -301,16 +285,12 @@ ieee80211_crypto_wep_decrypt(struct ieee
+       if (!(status->flag & RX_FLAG_DECRYPTED)) {
+               if (skb_linearize(rx->skb))
+                       return RX_DROP_UNUSABLE;
+-              if (rx->sta && ieee80211_wep_is_weak_iv(rx->skb, rx->key))
+-                      rx->sta->wep_weak_iv_count++;
+               if (ieee80211_wep_decrypt(rx->local, rx->skb, rx->key))
+                       return RX_DROP_UNUSABLE;
+       } else if (!(status->flag & RX_FLAG_IV_STRIPPED)) {
+               if (!pskb_may_pull(rx->skb, ieee80211_hdrlen(fc) +
+                                           IEEE80211_WEP_IV_LEN))
+                       return RX_DROP_UNUSABLE;
+-              if (rx->sta && ieee80211_wep_is_weak_iv(rx->skb, rx->key))
+-                      rx->sta->wep_weak_iv_count++;
+               ieee80211_wep_remove_iv(rx->local, rx->skb, rx->key);
+               /* remove ICV */
+               if (pskb_trim(rx->skb, rx->skb->len - IEEE80211_WEP_ICV_LEN))
 --- a/include/net/cfg80211.h
 +++ b/include/net/cfg80211.h
-@@ -639,6 +639,30 @@ struct cfg80211_ap_settings {
+@@ -2278,16 +2278,6 @@ struct cfg80211_qos_map {
+  *
+  * @set_noack_map: Set the NoAck Map for the TIDs.
+  *
+- * @get_et_sset_count:  Ethtool API to get string-set count.
+- *    See @ethtool_ops.get_sset_count
+- *
+- * @get_et_stats:  Ethtool API to get a set of u64 stats.
+- *    See @ethtool_ops.get_ethtool_stats
+- *
+- * @get_et_strings:  Ethtool API to get a set of strings to describe stats
+- *    and perhaps other supported types of ethtool data-sets.
+- *    See @ethtool_ops.get_strings
+- *
+  * @get_channel: Get the current operating channel for the virtual interface.
+  *    For monitor interfaces, it should return %NULL unless there's a single
+  *    current monitoring channel.
+@@ -2529,13 +2519,6 @@ struct cfg80211_ops {
+                                 struct net_device *dev,
+                                 u16 noack_map);
+-      int     (*get_et_sset_count)(struct wiphy *wiphy,
+-                                   struct net_device *dev, int sset);
+-      void    (*get_et_stats)(struct wiphy *wiphy, struct net_device *dev,
+-                              struct ethtool_stats *stats, u64 *data);
+-      void    (*get_et_strings)(struct wiphy *wiphy, struct net_device *dev,
+-                                u32 sset, u8 *data);
+-
+       int     (*get_channel)(struct wiphy *wiphy,
+                              struct wireless_dev *wdev,
+                              struct cfg80211_chan_def *chandef);
+@@ -4846,6 +4829,10 @@ void cfg80211_stop_iface(struct wiphy *w
+  */
+ void cfg80211_shutdown_all_interfaces(struct wiphy *wiphy);
++
++/* ethtool helper */
++void cfg80211_get_drvinfo(struct net_device *dev, struct ethtool_drvinfo *info);
++
+ /* Logging, debugging and troubleshooting/diagnostic helpers. */
+ /* wiphy_printk helpers, similar to dev_printk */
+--- a/net/mac80211/Makefile
++++ b/net/mac80211/Makefile
+@@ -17,6 +17,7 @@ mac80211-y := \
+       aes_ccm.o \
+       aes_cmac.o \
+       cfg.o \
++      ethtool.o \
+       rx.o \
+       spectmgmt.o \
+       tx.o \
+--- a/net/mac80211/ieee80211_i.h
++++ b/net/mac80211/ieee80211_i.h
+@@ -229,16 +229,29 @@ struct ieee80211_rx_data {
+       u16 tkip_iv16;
  };
  
- /**
-+ * struct cfg80211_csa_settings - channel switch settings
-+ *
-+ * Used for channel switch
-+ *
-+ * @chandef: defines the channel to use after the switch
-+ * @beacon_csa: beacon data while performing the switch
-+ * @counter_offset_beacon: offset for the counter within the beacon (tail)
-+ * @counter_offset_presp: offset for the counter within the probe response
-+ * @beacon_after: beacon data to be used on the new channel
-+ * @radar_required: whether radar detection is required on the new channel
-+ * @block_tx: whether transmissions should be blocked while changing
-+ * @count: number of beacons until switch
-+ */
-+struct cfg80211_csa_settings {
-+      struct cfg80211_chan_def chandef;
-+      struct cfg80211_beacon_data beacon_csa;
-+      u16 counter_offset_beacon, counter_offset_presp;
-+      struct cfg80211_beacon_data beacon_after;
-+      bool radar_required;
-+      bool block_tx;
++struct ieee80211_csa_settings {
++      const u16 *counter_offsets_beacon;
++      const u16 *counter_offsets_presp;
++
++      int n_counter_offsets_beacon;
++      int n_counter_offsets_presp;
++
 +      u8 count;
 +};
 +
-+/**
-  * enum station_parameters_apply_mask - station parameter values to apply
-  * @STATION_PARAM_APPLY_UAPSD: apply new uAPSD parameters (uapsd_queues, max_sp)
-  * @STATION_PARAM_APPLY_CAPABILITY: apply new capability
-@@ -1698,7 +1722,7 @@ struct cfg80211_pmksa {
+ struct beacon_data {
+       u8 *head, *tail;
+       int head_len, tail_len;
+       struct ieee80211_meshconf_ie *meshconf;
++      u16 csa_counter_offsets[IEEE80211_MAX_CSA_COUNTERS_NUM];
++      u8 csa_current_counter;
+       struct rcu_head rcu_head;
  };
  
- /**
-- * struct cfg80211_wowlan_trig_pkt_pattern - packet pattern
-+ * struct cfg80211_pkt_pattern - packet pattern
-  * @mask: bitmask where to match pattern and where to ignore bytes,
-  *    one bit per byte, in same format as nl80211
-  * @pattern: bytes to match where bitmask is 1
-@@ -1708,7 +1732,7 @@ struct cfg80211_pmksa {
-  * Internal note: @mask and @pattern are allocated in one chunk of
-  * memory, free @mask only!
-  */
--struct cfg80211_wowlan_trig_pkt_pattern {
-+struct cfg80211_pkt_pattern {
-       u8 *mask, *pattern;
-       int pattern_len;
-       int pkt_offset;
-@@ -1770,7 +1794,7 @@ struct cfg80211_wowlan {
-       bool any, disconnect, magic_pkt, gtk_rekey_failure,
-            eap_identity_req, four_way_handshake,
-            rfkill_release;
--      struct cfg80211_wowlan_trig_pkt_pattern *patterns;
-+      struct cfg80211_pkt_pattern *patterns;
-       struct cfg80211_wowlan_tcp *tcp;
-       int n_patterns;
- };
-@@ -2071,6 +2095,8 @@ struct cfg80211_update_ft_ies_params {
-  *    driver can take the most appropriate actions.
-  * @crit_proto_stop: Indicates critical protocol no longer needs increased link
-  *    reliability. This operation can not fail.
-+ *
-+ * @channel_switch: initiate channel-switch procedure (with CSA)
-  */
- struct cfg80211_ops {
-       int     (*suspend)(struct wiphy *wiphy, struct cfg80211_wowlan *wow);
-@@ -2306,6 +2332,10 @@ struct cfg80211_ops {
-                                   u16 duration);
-       void    (*crit_proto_stop)(struct wiphy *wiphy,
-                                  struct wireless_dev *wdev);
-+
-+      int     (*channel_switch)(struct wiphy *wiphy,
-+                                struct net_device *dev,
-+                                struct cfg80211_csa_settings *params);
+ struct probe_resp {
+       struct rcu_head rcu_head;
+       int len;
++      u16 csa_counter_offsets[IEEE80211_MAX_CSA_COUNTERS_NUM];
+       u8 data[0];
  };
  
- /*
-@@ -2371,6 +2401,8 @@ struct cfg80211_ops {
-  * @WIPHY_FLAG_OFFCHAN_TX: Device supports direct off-channel TX.
-  * @WIPHY_FLAG_HAS_REMAIN_ON_CHANNEL: Device supports remain-on-channel call.
-  * @WIPHY_FLAG_SUPPORTS_5_10_MHZ: Device supports 5 MHz and 10 MHz channels.
-+ * @WIPHY_FLAG_HAS_CHANNEL_SWITCH: Device supports channel switch in
-+ *    beaconing mode (AP, IBSS, Mesh, ...).
-  */
- enum wiphy_flags {
-       WIPHY_FLAG_CUSTOM_REGULATORY            = BIT(0),
-@@ -2395,6 +2427,7 @@ enum wiphy_flags {
-       WIPHY_FLAG_OFFCHAN_TX                   = BIT(20),
-       WIPHY_FLAG_HAS_REMAIN_ON_CHANNEL        = BIT(21),
-       WIPHY_FLAG_SUPPORTS_5_10_MHZ            = BIT(22),
-+      WIPHY_FLAG_HAS_CHANNEL_SWITCH           = BIT(23),
- };
+@@ -754,8 +767,6 @@ struct ieee80211_sub_if_data {
+       struct mac80211_qos_map __rcu *qos_map;
+       struct work_struct csa_finalize_work;
+-      u16 csa_counter_offset_beacon[IEEE80211_MAX_CSA_COUNTERS_NUM];
+-      u16 csa_counter_offset_presp[IEEE80211_MAX_CSA_COUNTERS_NUM];
+       bool csa_radar_required;
+       bool csa_block_tx; /* write-protected by sdata_lock and local->mtx */
+       struct cfg80211_chan_def csa_chandef;
+@@ -767,7 +778,6 @@ struct ieee80211_sub_if_data {
+       struct ieee80211_chanctx *reserved_chanctx;
+       struct cfg80211_chan_def reserved_chandef;
+       bool reserved_radar_required;
+-      u8 csa_current_counter;
+       /* used to reconfigure hardware SM PS */
+       struct work_struct recalc_smps;
+@@ -1850,6 +1860,8 @@ int ieee80211_tdls_oper(struct wiphy *wi
+                       const u8 *peer, enum nl80211_tdls_operation oper);
  
- /**
---- a/include/uapi/linux/nl80211.h
-+++ b/include/uapi/linux/nl80211.h
-@@ -648,6 +648,16 @@
-  * @NL80211_CMD_CRIT_PROTOCOL_STOP: Indicates the connection reliability can
-  *    return back to normal.
-  *
-+ * @NL80211_CMD_CHANNEL_SWITCH: Perform a channel switch by announcing the
-+ *    the new channel information (Channel Switch Announcement - CSA)
-+ *    in the beacon for some time (as defined in the
-+ *    %NL80211_ATTR_CH_SWITCH_COUNT parameter) and then change to the
-+ *    new channel. Userspace provides the new channel information (using
-+ *    %NL80211_ATTR_WIPHY_FREQ and the attributes determining channel
-+ *    width). %NL80211_ATTR_CH_SWITCH_BLOCK_TX may be supplied to inform
-+ *    other station that transmission must be blocked until the channel
-+ *    switch is complete.
-+ *
-  * @NL80211_CMD_MAX: highest used command number
-  * @__NL80211_CMD_AFTER_LAST: internal use
-  */
-@@ -810,6 +820,8 @@ enum nl80211_commands {
-       NL80211_CMD_CRIT_PROTOCOL_START,
-       NL80211_CMD_CRIT_PROTOCOL_STOP,
  
-+      NL80211_CMD_CHANNEL_SWITCH,
++extern const struct ethtool_ops ieee80211_ethtool_ops;
 +
-       /* add new commands above here */
+ #ifdef CPTCFG_MAC80211_NOINLINE
+ #define debug_noinline noinline
+ #else
+--- a/net/mac80211/iface.c
++++ b/net/mac80211/iface.c
+@@ -399,6 +399,7 @@ int ieee80211_add_virtual_monitor(struct
+       sdata->vif.type = NL80211_IFTYPE_MONITOR;
+       snprintf(sdata->name, IFNAMSIZ, "%s-monitor",
+                wiphy_name(local->hw.wiphy));
++      sdata->wdev.iftype = NL80211_IFTYPE_MONITOR;
  
-       /* used to define NL80211_CMD_MAX below */
-@@ -1436,6 +1448,18 @@ enum nl80211_commands {
-  *    allowed to be used with the first @NL80211_CMD_SET_STATION command to
-  *    update a TDLS peer STA entry.
-  *
-+ * @NL80211_ATTR_CH_SWITCH_COUNT: u32 attribute specifying the number of TBTT's
-+ *    until the channel switch event.
-+ * @NL80211_ATTR_CH_SWITCH_BLOCK_TX: flag attribute specifying that transmission
-+ *    must be blocked on the current channel (before the channel switch
-+ *    operation).
-+ * @NL80211_ATTR_CSA_IES: Nested set of attributes containing the IE information
-+ *    for the time while performing a channel switch.
-+ * @NL80211_ATTR_CSA_C_OFF_BEACON: Offset of the channel switch counter
-+ *    field in the beacons tail (%NL80211_ATTR_BEACON_TAIL).
-+ * @NL80211_ATTR_CSA_C_OFF_PRESP: Offset of the channel switch counter
-+ *    field in the probe response (%NL80211_ATTR_PROBE_RESP).
-+ *
-  * @NL80211_ATTR_MAX: highest attribute number currently defined
-  * @__NL80211_ATTR_AFTER_LAST: internal use
-  */
-@@ -1736,6 +1760,12 @@ enum nl80211_attrs {
+       sdata->encrypt_headroom = IEEE80211_ENCRYPT_HEADROOM;
  
-       NL80211_ATTR_PEER_AID,
+@@ -1303,6 +1304,7 @@ static void ieee80211_setup_sdata(struct
+       sdata->control_port_protocol = cpu_to_be16(ETH_P_PAE);
+       sdata->control_port_no_encrypt = false;
+       sdata->encrypt_headroom = IEEE80211_ENCRYPT_HEADROOM;
++      sdata->vif.bss_conf.idle = true;
  
-+      NL80211_ATTR_CH_SWITCH_COUNT,
-+      NL80211_ATTR_CH_SWITCH_BLOCK_TX,
-+      NL80211_ATTR_CSA_IES,
-+      NL80211_ATTR_CSA_C_OFF_BEACON,
-+      NL80211_ATTR_CSA_C_OFF_PRESP,
-+
-       /* add attributes here, update the policy in nl80211.c */
+       sdata->noack_map = 0;
  
-       __NL80211_ATTR_AFTER_LAST,
-@@ -3060,11 +3090,11 @@ enum nl80211_tx_power_setting {
- };
+@@ -1721,6 +1723,8 @@ int ieee80211_if_add(struct ieee80211_lo
  
- /**
-- * enum nl80211_wowlan_packet_pattern_attr - WoWLAN packet pattern attribute
-- * @__NL80211_WOWLAN_PKTPAT_INVALID: invalid number for nested attribute
-- * @NL80211_WOWLAN_PKTPAT_PATTERN: the pattern, values where the mask has
-+ * enum nl80211_packet_pattern_attr - packet pattern attribute
-+ * @__NL80211_PKTPAT_INVALID: invalid number for nested attribute
-+ * @NL80211_PKTPAT_PATTERN: the pattern, values where the mask has
-  *    a zero bit are ignored
-- * @NL80211_WOWLAN_PKTPAT_MASK: pattern mask, must be long enough to have
-+ * @NL80211_PKTPAT_MASK: pattern mask, must be long enough to have
-  *    a bit for each byte in the pattern. The lowest-order bit corresponds
-  *    to the first byte of the pattern, but the bytes of the pattern are
-  *    in a little-endian-like format, i.e. the 9th byte of the pattern
-@@ -3075,23 +3105,23 @@ enum nl80211_tx_power_setting {
-  *    Note that the pattern matching is done as though frames were not
-  *    802.11 frames but 802.3 frames, i.e. the frame is fully unpacked
-  *    first (including SNAP header unpacking) and then matched.
-- * @NL80211_WOWLAN_PKTPAT_OFFSET: packet offset, pattern is matched after
-+ * @NL80211_PKTPAT_OFFSET: packet offset, pattern is matched after
-  *    these fixed number of bytes of received packet
-- * @NUM_NL80211_WOWLAN_PKTPAT: number of attributes
-- * @MAX_NL80211_WOWLAN_PKTPAT: max attribute number
-+ * @NUM_NL80211_PKTPAT: number of attributes
-+ * @MAX_NL80211_PKTPAT: max attribute number
-  */
--enum nl80211_wowlan_packet_pattern_attr {
--      __NL80211_WOWLAN_PKTPAT_INVALID,
--      NL80211_WOWLAN_PKTPAT_MASK,
--      NL80211_WOWLAN_PKTPAT_PATTERN,
--      NL80211_WOWLAN_PKTPAT_OFFSET,
-+enum nl80211_packet_pattern_attr {
-+      __NL80211_PKTPAT_INVALID,
-+      NL80211_PKTPAT_MASK,
-+      NL80211_PKTPAT_PATTERN,
-+      NL80211_PKTPAT_OFFSET,
--      NUM_NL80211_WOWLAN_PKTPAT,
--      MAX_NL80211_WOWLAN_PKTPAT = NUM_NL80211_WOWLAN_PKTPAT - 1,
-+      NUM_NL80211_PKTPAT,
-+      MAX_NL80211_PKTPAT = NUM_NL80211_PKTPAT - 1,
- };
+               ndev->features |= local->hw.netdev_features;
  
- /**
-- * struct nl80211_wowlan_pattern_support - pattern support information
-+ * struct nl80211_pattern_support - packet pattern support information
-  * @max_patterns: maximum number of patterns supported
-  * @min_pattern_len: minimum length of each pattern
-  * @max_pattern_len: maximum length of each pattern
-@@ -3101,13 +3131,22 @@ enum nl80211_wowlan_packet_pattern_attr 
-  * that is part of %NL80211_ATTR_WOWLAN_TRIGGERS_SUPPORTED in the
-  * capability information given by the kernel to userspace.
-  */
--struct nl80211_wowlan_pattern_support {
-+struct nl80211_pattern_support {
-       __u32 max_patterns;
-       __u32 min_pattern_len;
-       __u32 max_pattern_len;
-       __u32 max_pkt_offset;
- } __attribute__((packed));
-+/* only for backward compatibility */
-+#define __NL80211_WOWLAN_PKTPAT_INVALID __NL80211_PKTPAT_INVALID
-+#define NL80211_WOWLAN_PKTPAT_MASK NL80211_PKTPAT_MASK
-+#define NL80211_WOWLAN_PKTPAT_PATTERN NL80211_PKTPAT_PATTERN
-+#define NL80211_WOWLAN_PKTPAT_OFFSET NL80211_PKTPAT_OFFSET
-+#define NUM_NL80211_WOWLAN_PKTPAT NUM_NL80211_PKTPAT
-+#define MAX_NL80211_WOWLAN_PKTPAT MAX_NL80211_PKTPAT
-+#define nl80211_wowlan_pattern_support nl80211_pattern_support
-+
- /**
-  * enum nl80211_wowlan_triggers - WoWLAN trigger definitions
-  * @__NL80211_WOWLAN_TRIG_INVALID: invalid number for nested attributes
-@@ -3127,7 +3166,7 @@ struct nl80211_wowlan_pattern_support {
-  *    pattern matching is done after the packet is converted to the MSDU.
-  *
-  *    In %NL80211_ATTR_WOWLAN_TRIGGERS_SUPPORTED, it is a binary attribute
-- *    carrying a &struct nl80211_wowlan_pattern_support.
-+ *    carrying a &struct nl80211_pattern_support.
-  *
-  *    When reporting wakeup. it is a u32 attribute containing the 0-based
-  *    index of the pattern that caused the wakeup, in the patterns passed
-@@ -3284,7 +3323,7 @@ struct nl80211_wowlan_tcp_data_token_fea
-  * @NL80211_WOWLAN_TCP_WAKE_PAYLOAD: wake packet payload, for advertising a
-  *    u32 attribute holding the maximum length
-  * @NL80211_WOWLAN_TCP_WAKE_MASK: Wake packet payload mask, not used for
-- *    feature advertising. The mask works like @NL80211_WOWLAN_PKTPAT_MASK
-+ *    feature advertising. The mask works like @NL80211_PKTPAT_MASK
-  *    but on the TCP payload only.
-  * @NUM_NL80211_WOWLAN_TCP: number of TCP attributes
-  * @MAX_NL80211_WOWLAN_TCP: highest attribute number
---- a/net/mac80211/mesh_ps.c
-+++ b/net/mac80211/mesh_ps.c
-@@ -229,6 +229,10 @@ void ieee80211_mps_sta_status_update(str
-       enum nl80211_mesh_power_mode pm;
-       bool do_buffer;
-+      /* For non-assoc STA, prevent buffering or frame transmission */
-+      if (sta->sta_state < IEEE80211_STA_ASSOC)
-+              return;
++              netdev_set_default_ethtool_ops(ndev, &ieee80211_ethtool_ops);
 +
-       /*
-        * use peer-specific power mode if peering is established and the
-        * peer's power mode is known
---- a/net/wireless/nl80211.c
-+++ b/net/wireless/nl80211.c
-@@ -349,6 +349,11 @@ static const struct nla_policy nl80211_p
-       [NL80211_ATTR_IE_RIC] = { .type = NLA_BINARY,
-                                 .len = IEEE80211_MAX_DATA_LEN },
-       [NL80211_ATTR_PEER_AID] = { .type = NLA_U16 },
-+      [NL80211_ATTR_CH_SWITCH_COUNT] = { .type = NLA_U32 },
-+      [NL80211_ATTR_CH_SWITCH_BLOCK_TX] = { .type = NLA_FLAG },
-+      [NL80211_ATTR_CSA_IES] = { .type = NLA_NESTED },
-+      [NL80211_ATTR_CSA_C_OFF_BEACON] = { .type = NLA_U16 },
-+      [NL80211_ATTR_CSA_C_OFF_PRESP] = { .type = NLA_U16 },
- };
+               ret = register_netdevice(ndev);
+               if (ret) {
+                       free_netdev(ndev);
+--- a/net/wireless/core.c
++++ b/net/wireless/core.c
+@@ -25,7 +25,6 @@
+ #include "sysfs.h"
+ #include "debugfs.h"
+ #include "wext-compat.h"
+-#include "ethtool.h"
+ #include "rdev-ops.h"
+ /* name for sysfs, %d is appended */
+@@ -940,8 +939,6 @@ static int cfg80211_netdev_notifier_call
+               /* allow mac80211 to determine the timeout */
+               wdev->ps_timeout = -1;
+-              netdev_set_default_ethtool_ops(dev, &cfg80211_ethtool_ops);
+-
+               if ((wdev->iftype == NL80211_IFTYPE_STATION ||
+                    wdev->iftype == NL80211_IFTYPE_P2P_CLIENT ||
+                    wdev->iftype == NL80211_IFTYPE_ADHOC) && !wdev->use_4addr)
+--- a/net/wireless/ethtool.c
++++ b/net/wireless/ethtool.c
+@@ -1,11 +1,9 @@
+ #include <linux/utsname.h>
+ #include <net/cfg80211.h>
+ #include "core.h"
+-#include "ethtool.h"
+ #include "rdev-ops.h"
+-static void cfg80211_get_drvinfo(struct net_device *dev,
+-                                      struct ethtool_drvinfo *info)
++void cfg80211_get_drvinfo(struct net_device *dev, struct ethtool_drvinfo *info)
+ {
+       struct wireless_dev *wdev = dev->ieee80211_ptr;
  
- /* policy for the key attributes */
-@@ -441,10 +446,12 @@ static int nl80211_prepare_wdev_dump(str
-                       goto out_unlock;
-               }
-               *rdev = wiphy_to_dev((*wdev)->wiphy);
--              cb->args[0] = (*rdev)->wiphy_idx;
-+              /* 0 is the first index - add 1 to parse only once */
-+              cb->args[0] = (*rdev)->wiphy_idx + 1;
-               cb->args[1] = (*wdev)->identifier;
-       } else {
--              struct wiphy *wiphy = wiphy_idx_to_wiphy(cb->args[0]);
-+              /* subtract the 1 again here */
-+              struct wiphy *wiphy = wiphy_idx_to_wiphy(cb->args[0] - 1);
-               struct wireless_dev *tmp;
+@@ -23,84 +21,4 @@ static void cfg80211_get_drvinfo(struct 
+       strlcpy(info->bus_info, dev_name(wiphy_dev(wdev->wiphy)),
+               sizeof(info->bus_info));
+ }
+-
+-static int cfg80211_get_regs_len(struct net_device *dev)
+-{
+-      /* For now, return 0... */
+-      return 0;
+-}
+-
+-static void cfg80211_get_regs(struct net_device *dev, struct ethtool_regs *regs,
+-                      void *data)
+-{
+-      struct wireless_dev *wdev = dev->ieee80211_ptr;
+-
+-      regs->version = wdev->wiphy->hw_version;
+-      regs->len = 0;
+-}
+-
+-static void cfg80211_get_ringparam(struct net_device *dev,
+-                                 struct ethtool_ringparam *rp)
+-{
+-      struct wireless_dev *wdev = dev->ieee80211_ptr;
+-      struct cfg80211_registered_device *rdev = wiphy_to_rdev(wdev->wiphy);
+-
+-      memset(rp, 0, sizeof(*rp));
+-
+-      if (rdev->ops->get_ringparam)
+-              rdev_get_ringparam(rdev, &rp->tx_pending, &rp->tx_max_pending,
+-                                 &rp->rx_pending, &rp->rx_max_pending);
+-}
+-
+-static int cfg80211_set_ringparam(struct net_device *dev,
+-                                struct ethtool_ringparam *rp)
+-{
+-      struct wireless_dev *wdev = dev->ieee80211_ptr;
+-      struct cfg80211_registered_device *rdev = wiphy_to_rdev(wdev->wiphy);
+-
+-      if (rp->rx_mini_pending != 0 || rp->rx_jumbo_pending != 0)
+-              return -EINVAL;
+-
+-      if (rdev->ops->set_ringparam)
+-              return rdev_set_ringparam(rdev, rp->tx_pending, rp->rx_pending);
+-
+-      return -ENOTSUPP;
+-}
+-
+-static int cfg80211_get_sset_count(struct net_device *dev, int sset)
+-{
+-      struct wireless_dev *wdev = dev->ieee80211_ptr;
+-      struct cfg80211_registered_device *rdev = wiphy_to_rdev(wdev->wiphy);
+-      if (rdev->ops->get_et_sset_count)
+-              return rdev_get_et_sset_count(rdev, dev, sset);
+-      return -EOPNOTSUPP;
+-}
+-
+-static void cfg80211_get_stats(struct net_device *dev,
+-                             struct ethtool_stats *stats, u64 *data)
+-{
+-      struct wireless_dev *wdev = dev->ieee80211_ptr;
+-      struct cfg80211_registered_device *rdev = wiphy_to_rdev(wdev->wiphy);
+-      if (rdev->ops->get_et_stats)
+-              rdev_get_et_stats(rdev, dev, stats, data);
+-}
+-
+-static void cfg80211_get_strings(struct net_device *dev, u32 sset, u8 *data)
+-{
+-      struct wireless_dev *wdev = dev->ieee80211_ptr;
+-      struct cfg80211_registered_device *rdev = wiphy_to_rdev(wdev->wiphy);
+-      if (rdev->ops->get_et_strings)
+-              rdev_get_et_strings(rdev, dev, sset, data);
+-}
+-
+-const struct ethtool_ops cfg80211_ethtool_ops = {
+-      .get_drvinfo = cfg80211_get_drvinfo,
+-      .get_regs_len = cfg80211_get_regs_len,
+-      .get_regs = cfg80211_get_regs,
+-      .get_link = ethtool_op_get_link,
+-      .get_ringparam = cfg80211_get_ringparam,
+-      .set_ringparam = cfg80211_set_ringparam,
+-      .get_strings = cfg80211_get_strings,
+-      .get_ethtool_stats = cfg80211_get_stats,
+-      .get_sset_count = cfg80211_get_sset_count,
+-};
++EXPORT_SYMBOL(cfg80211_get_drvinfo);
+--- a/net/wireless/ethtool.h
++++ /dev/null
+@@ -1,6 +0,0 @@
+-#ifndef __CFG80211_ETHTOOL__
+-#define __CFG80211_ETHTOOL__
+-
+-extern const struct ethtool_ops cfg80211_ethtool_ops;
+-
+-#endif /* __CFG80211_ETHTOOL__ */
+--- a/net/wireless/rdev-ops.h
++++ b/net/wireless/rdev-ops.h
+@@ -714,25 +714,6 @@ static inline int rdev_get_antenna(struc
+       return ret;
+ }
  
-               if (!wiphy) {
-@@ -974,7 +981,7 @@ static int nl80211_send_wowlan(struct sk
-               return -ENOBUFS;
+-static inline int rdev_set_ringparam(struct cfg80211_registered_device *rdev,
+-                                   u32 tx, u32 rx)
+-{
+-      int ret;
+-      trace_rdev_set_ringparam(&rdev->wiphy, tx, rx);
+-      ret = rdev->ops->set_ringparam(&rdev->wiphy, tx, rx);
+-      trace_rdev_return_int(&rdev->wiphy, ret);
+-      return ret;
+-}
+-
+-static inline void rdev_get_ringparam(struct cfg80211_registered_device *rdev,
+-                                    u32 *tx, u32 *tx_max, u32 *rx,
+-                                    u32 *rx_max)
+-{
+-      trace_rdev_get_ringparam(&rdev->wiphy);
+-      rdev->ops->get_ringparam(&rdev->wiphy, tx, tx_max, rx, rx_max);
+-      trace_rdev_return_void_tx_rx(&rdev->wiphy, *tx, *tx_max, *rx, *rx_max);
+-}
+-
+ static inline int
+ rdev_sched_scan_start(struct cfg80211_registered_device *rdev,
+                     struct net_device *dev,
+@@ -816,35 +797,6 @@ static inline int rdev_set_noack_map(str
+ }
  
-       if (dev->wiphy.wowlan->n_patterns) {
--              struct nl80211_wowlan_pattern_support pat = {
-+              struct nl80211_pattern_support pat = {
-                       .max_patterns = dev->wiphy.wowlan->n_patterns,
-                       .min_pattern_len = dev->wiphy.wowlan->pattern_min_len,
-                       .max_pattern_len = dev->wiphy.wowlan->pattern_max_len,
-@@ -1393,6 +1400,8 @@ static int nl80211_send_wiphy(struct cfg
-               if (state->split) {
-                       CMD(crit_proto_start, CRIT_PROTOCOL_START);
-                       CMD(crit_proto_stop, CRIT_PROTOCOL_STOP);
-+                      if (dev->wiphy.flags & WIPHY_FLAG_HAS_CHANNEL_SWITCH)
-+                              CMD(channel_switch, CHANNEL_SWITCH);
-               }
+ static inline int
+-rdev_get_et_sset_count(struct cfg80211_registered_device *rdev,
+-                     struct net_device *dev, int sset)
+-{
+-      int ret;
+-      trace_rdev_get_et_sset_count(&rdev->wiphy, dev, sset);
+-      ret = rdev->ops->get_et_sset_count(&rdev->wiphy, dev, sset);
+-      trace_rdev_return_int(&rdev->wiphy, ret);
+-      return ret;
+-}
+-
+-static inline void rdev_get_et_stats(struct cfg80211_registered_device *rdev,
+-                                   struct net_device *dev,
+-                                   struct ethtool_stats *stats, u64 *data)
+-{
+-      trace_rdev_get_et_stats(&rdev->wiphy, dev);
+-      rdev->ops->get_et_stats(&rdev->wiphy, dev, stats, data);
+-      trace_rdev_return_void(&rdev->wiphy);
+-}
+-
+-static inline void rdev_get_et_strings(struct cfg80211_registered_device *rdev,
+-                                     struct net_device *dev, u32 sset,
+-                                     u8 *data)
+-{
+-      trace_rdev_get_et_strings(&rdev->wiphy, dev, sset);
+-      rdev->ops->get_et_strings(&rdev->wiphy, dev, sset, data);
+-      trace_rdev_return_void(&rdev->wiphy);
+-}
+-
+-static inline int
+ rdev_get_channel(struct cfg80211_registered_device *rdev,
+                struct wireless_dev *wdev,
+                struct cfg80211_chan_def *chandef)
+--- a/net/wireless/trace.h
++++ b/net/wireless/trace.h
+@@ -298,11 +298,6 @@ DEFINE_EVENT(wiphy_only_evt, rdev_return
+       TP_ARGS(wiphy)
+ );
  
- #ifdef CPTCFG_NL80211_TESTMODE
-@@ -1568,8 +1577,10 @@ static int nl80211_dump_wiphy(struct sk_
-       rtnl_lock();
-       if (!state) {
-               state = kzalloc(sizeof(*state), GFP_KERNEL);
--              if (!state)
-+              if (!state) {
-+                      rtnl_unlock();
-                       return -ENOMEM;
-+              }
-               state->filter_wiphy = -1;
-               ret = nl80211_dump_wiphy_parse(skb, cb, state);
-               if (ret) {
-@@ -2620,8 +2631,8 @@ static int nl80211_get_key(struct sk_buf
-       hdr = nl80211hdr_put(msg, genl_info_snd_portid(info), info->snd_seq, 0,
-                            NL80211_CMD_NEW_KEY);
--      if (IS_ERR(hdr))
--              return PTR_ERR(hdr);
-+      if (!hdr)
-+              return -ENOBUFS;
-       cookie.msg = msg;
-       cookie.idx = key_idx;
-@@ -4770,9 +4781,9 @@ do {                                                                         \
-       FILL_IN_MESH_PARAM_IF_SET(tb, cfg, dot11MeshForwarding, 0, 1,
-                                 mask, NL80211_MESHCONF_FORWARDING,
-                                 nla_get_u8);
--      FILL_IN_MESH_PARAM_IF_SET(tb, cfg, rssi_threshold, 1, 255,
-+      FILL_IN_MESH_PARAM_IF_SET(tb, cfg, rssi_threshold, -255, 0,
-                                 mask, NL80211_MESHCONF_RSSI_THRESHOLD,
--                                nla_get_u32);
-+                                nla_get_s32);
-       FILL_IN_MESH_PARAM_IF_SET(tb, cfg, ht_opmode, 0, 16,
-                                 mask, NL80211_MESHCONF_HT_OPMODE,
-                                 nla_get_u16);
-@@ -5578,6 +5589,111 @@ static int nl80211_start_radar_detection
-       return err;
- }
+-DEFINE_EVENT(wiphy_only_evt, rdev_get_ringparam,
+-      TP_PROTO(struct wiphy *wiphy),
+-      TP_ARGS(wiphy)
+-);
+-
+ DEFINE_EVENT(wiphy_only_evt, rdev_get_antenna,
+       TP_PROTO(struct wiphy *wiphy),
+       TP_ARGS(wiphy)
+@@ -580,11 +575,6 @@ DEFINE_EVENT(wiphy_netdev_evt, rdev_stop
+       TP_ARGS(wiphy, netdev)
+ );
+-DEFINE_EVENT(wiphy_netdev_evt, rdev_get_et_stats,
+-      TP_PROTO(struct wiphy *wiphy, struct net_device *netdev),
+-      TP_ARGS(wiphy, netdev)
+-);
+-
+ DEFINE_EVENT(wiphy_netdev_evt, rdev_sched_scan_stop,
+       TP_PROTO(struct wiphy *wiphy, struct net_device *netdev),
+       TP_ARGS(wiphy, netdev)
+@@ -1439,11 +1429,6 @@ DECLARE_EVENT_CLASS(tx_rx_evt,
+                 WIPHY_PR_ARG, __entry->tx, __entry->rx)
+ );
+-DEFINE_EVENT(tx_rx_evt, rdev_set_ringparam,
+-      TP_PROTO(struct wiphy *wiphy, u32 tx, u32 rx),
+-      TP_ARGS(wiphy, rx, tx)
+-);
+-
+ DEFINE_EVENT(tx_rx_evt, rdev_set_antenna,
+       TP_PROTO(struct wiphy *wiphy, u32 tx, u32 rx),
+       TP_ARGS(wiphy, rx, tx)
+@@ -1725,40 +1710,6 @@ TRACE_EVENT(rdev_set_noack_map,
+                 WIPHY_PR_ARG, NETDEV_PR_ARG, __entry->noack_map)
+ );
  
-+static int nl80211_channel_switch(struct sk_buff *skb, struct genl_info *info)
+-TRACE_EVENT(rdev_get_et_sset_count,
+-      TP_PROTO(struct wiphy *wiphy, struct net_device *netdev, int sset),
+-      TP_ARGS(wiphy, netdev, sset),
+-      TP_STRUCT__entry(
+-              WIPHY_ENTRY
+-              NETDEV_ENTRY
+-              __field(int, sset)
+-      ),
+-      TP_fast_assign(
+-              WIPHY_ASSIGN;
+-              NETDEV_ASSIGN;
+-              __entry->sset = sset;
+-      ),
+-      TP_printk(WIPHY_PR_FMT ", " NETDEV_PR_FMT ", sset: %d",
+-                WIPHY_PR_ARG, NETDEV_PR_ARG, __entry->sset)
+-);
+-
+-TRACE_EVENT(rdev_get_et_strings,
+-      TP_PROTO(struct wiphy *wiphy, struct net_device *netdev, u32 sset),
+-      TP_ARGS(wiphy, netdev, sset),
+-      TP_STRUCT__entry(
+-              WIPHY_ENTRY
+-              NETDEV_ENTRY
+-              __field(u32, sset)
+-      ),
+-      TP_fast_assign(
+-              WIPHY_ASSIGN;
+-              NETDEV_ASSIGN;
+-              __entry->sset = sset;
+-      ),
+-      TP_printk(WIPHY_PR_FMT ", " NETDEV_PR_FMT ", sset: %u",
+-                WIPHY_PR_ARG, NETDEV_PR_ARG, __entry->sset)
+-);
+-
+ DEFINE_EVENT(wiphy_wdev_evt, rdev_get_channel,
+       TP_PROTO(struct wiphy *wiphy, struct wireless_dev *wdev),
+       TP_ARGS(wiphy, wdev)
+--- /dev/null
++++ b/net/mac80211/ethtool.c
+@@ -0,0 +1,244 @@
++/*
++ * mac80211 ethtool hooks for cfg80211
++ *
++ * Copied from cfg.c - originally
++ * Copyright 2006-2010        Johannes Berg <johannes@sipsolutions.net>
++ * Copyright 2014     Intel Corporation (Author: Johannes Berg)
++ *
++ * This file is GPLv2 as found in COPYING.
++ */
++#include <linux/types.h>
++#include <net/cfg80211.h>
++#include "ieee80211_i.h"
++#include "sta_info.h"
++#include "driver-ops.h"
++
++static int ieee80211_set_ringparam(struct net_device *dev,
++                                 struct ethtool_ringparam *rp)
 +{
-+      struct cfg80211_registered_device *rdev = info->user_ptr[0];
-+      struct net_device *dev = info->user_ptr[1];
-+      struct wireless_dev *wdev = dev->ieee80211_ptr;
-+      struct cfg80211_csa_settings params;
-+      /* csa_attrs is defined static to avoid waste of stack size - this
-+       * function is called under RTNL lock, so this should not be a problem.
-+       */
-+      static struct nlattr *csa_attrs[NL80211_ATTR_MAX+1];
-+      u8 radar_detect_width = 0;
-+      int err;
++      struct ieee80211_local *local = wiphy_priv(dev->ieee80211_ptr->wiphy);
 +
-+      if (!rdev->ops->channel_switch ||
-+          !(rdev->wiphy.flags & WIPHY_FLAG_HAS_CHANNEL_SWITCH))
-+              return -EOPNOTSUPP;
++      if (rp->rx_mini_pending != 0 || rp->rx_jumbo_pending != 0)
++              return -EINVAL;
 +
-+      /* may add IBSS support later */
-+      if (dev->ieee80211_ptr->iftype != NL80211_IFTYPE_AP &&
-+          dev->ieee80211_ptr->iftype != NL80211_IFTYPE_P2P_GO)
-+              return -EOPNOTSUPP;
++      return drv_set_ringparam(local, rp->tx_pending, rp->rx_pending);
++}
++
++static void ieee80211_get_ringparam(struct net_device *dev,
++                                  struct ethtool_ringparam *rp)
++{
++      struct ieee80211_local *local = wiphy_priv(dev->ieee80211_ptr->wiphy);
 +
-+      memset(&params, 0, sizeof(params));
++      memset(rp, 0, sizeof(*rp));
 +
-+      if (!info->attrs[NL80211_ATTR_WIPHY_FREQ] ||
-+          !info->attrs[NL80211_ATTR_CH_SWITCH_COUNT])
-+              return -EINVAL;
++      drv_get_ringparam(local, &rp->tx_pending, &rp->tx_max_pending,
++                        &rp->rx_pending, &rp->rx_max_pending);
++}
 +
-+      /* only important for AP, IBSS and mesh create IEs internally */
-+      if (!info->attrs[NL80211_ATTR_CSA_IES])
-+              return -EINVAL;
++static const char ieee80211_gstrings_sta_stats[][ETH_GSTRING_LEN] = {
++      "rx_packets", "rx_bytes",
++      "rx_duplicates", "rx_fragments", "rx_dropped",
++      "tx_packets", "tx_bytes", "tx_fragments",
++      "tx_filtered", "tx_retry_failed", "tx_retries",
++      "beacon_loss", "sta_state", "txrate", "rxrate", "signal",
++      "channel", "noise", "ch_time", "ch_time_busy",
++      "ch_time_ext_busy", "ch_time_rx", "ch_time_tx"
++};
++#define STA_STATS_LEN ARRAY_SIZE(ieee80211_gstrings_sta_stats)
 +
-+      /* useless if AP is not running */
-+      if (!wdev->beacon_interval)
-+              return -EINVAL;
++static int ieee80211_get_sset_count(struct net_device *dev, int sset)
++{
++      struct ieee80211_sub_if_data *sdata = IEEE80211_DEV_TO_SUB_IF(dev);
++      int rv = 0;
++
++      if (sset == ETH_SS_STATS)
++              rv += STA_STATS_LEN;
++
++      rv += drv_get_et_sset_count(sdata, sset);
++
++      if (rv == 0)
++              return -EOPNOTSUPP;
++      return rv;
++}
++
++static void ieee80211_get_stats(struct net_device *dev,
++                              struct ethtool_stats *stats,
++                              u64 *data)
++{
++      struct ieee80211_sub_if_data *sdata = IEEE80211_DEV_TO_SUB_IF(dev);
++      struct ieee80211_chanctx_conf *chanctx_conf;
++      struct ieee80211_channel *channel;
++      struct sta_info *sta;
++      struct ieee80211_local *local = sdata->local;
++      struct station_info sinfo;
++      struct survey_info survey;
++      int i, q;
++#define STA_STATS_SURVEY_LEN 7
++
++      memset(data, 0, sizeof(u64) * STA_STATS_LEN);
++
++#define ADD_STA_STATS(sta)                            \
++      do {                                            \
++              data[i++] += sta->rx_packets;           \
++              data[i++] += sta->rx_bytes;             \
++              data[i++] += sta->num_duplicates;       \
++              data[i++] += sta->rx_fragments;         \
++              data[i++] += sta->rx_dropped;           \
++                                                      \
++              data[i++] += sinfo.tx_packets;          \
++              data[i++] += sinfo.tx_bytes;            \
++              data[i++] += sta->tx_fragments;         \
++              data[i++] += sta->tx_filtered_count;    \
++              data[i++] += sta->tx_retry_failed;      \
++              data[i++] += sta->tx_retry_count;       \
++              data[i++] += sta->beacon_loss_count;    \
++      } while (0)
++
++      /* For Managed stations, find the single station based on BSSID
++       * and use that.  For interface types, iterate through all available
++       * stations and add stats for any station that is assigned to this
++       * network device.
++       */
 +
-+      params.count = nla_get_u32(info->attrs[NL80211_ATTR_CH_SWITCH_COUNT]);
++      mutex_lock(&local->sta_mtx);
 +
-+      err = nl80211_parse_beacon(info->attrs, &params.beacon_after);
-+      if (err)
-+              return err;
++      if (sdata->vif.type == NL80211_IFTYPE_STATION) {
++              sta = sta_info_get_bss(sdata, sdata->u.mgd.bssid);
 +
-+      err = nla_parse_nested(csa_attrs, NL80211_ATTR_MAX,
-+                             info->attrs[NL80211_ATTR_CSA_IES],
-+                             nl80211_policy);
-+      if (err)
-+              return err;
++              if (!(sta && !WARN_ON(sta->sdata->dev != dev)))
++                      goto do_survey;
 +
-+      err = nl80211_parse_beacon(csa_attrs, &params.beacon_csa);
-+      if (err)
-+              return err;
++              sinfo.filled = 0;
++              sta_set_sinfo(sta, &sinfo);
 +
-+      if (!csa_attrs[NL80211_ATTR_CSA_C_OFF_BEACON])
-+              return -EINVAL;
++              i = 0;
++              ADD_STA_STATS(sta);
 +
-+      params.counter_offset_beacon =
-+              nla_get_u16(csa_attrs[NL80211_ATTR_CSA_C_OFF_BEACON]);
-+      if (params.counter_offset_beacon >= params.beacon_csa.tail_len)
-+              return -EINVAL;
++              data[i++] = sta->sta_state;
 +
-+      /* sanity check - counters should be the same */
-+      if (params.beacon_csa.tail[params.counter_offset_beacon] !=
-+          params.count)
-+              return -EINVAL;
 +
-+      if (csa_attrs[NL80211_ATTR_CSA_C_OFF_PRESP]) {
-+              params.counter_offset_presp =
-+                      nla_get_u16(csa_attrs[NL80211_ATTR_CSA_C_OFF_PRESP]);
-+              if (params.counter_offset_presp >=
-+                  params.beacon_csa.probe_resp_len)
-+                      return -EINVAL;
++              if (sinfo.filled & STATION_INFO_TX_BITRATE)
++                      data[i] = 100000 *
++                              cfg80211_calculate_bitrate(&sinfo.txrate);
++              i++;
++              if (sinfo.filled & STATION_INFO_RX_BITRATE)
++                      data[i] = 100000 *
++                              cfg80211_calculate_bitrate(&sinfo.rxrate);
++              i++;
 +
-+              if (params.beacon_csa.probe_resp[params.counter_offset_presp] !=
-+                  params.count)
-+                      return -EINVAL;
++              if (sinfo.filled & STATION_INFO_SIGNAL_AVG)
++                      data[i] = (u8)sinfo.signal_avg;
++              i++;
++      } else {
++              list_for_each_entry(sta, &local->sta_list, list) {
++                      /* Make sure this station belongs to the proper dev */
++                      if (sta->sdata->dev != dev)
++                              continue;
++
++                      sinfo.filled = 0;
++                      sta_set_sinfo(sta, &sinfo);
++                      i = 0;
++                      ADD_STA_STATS(sta);
++              }
 +      }
 +
-+      err = nl80211_parse_chandef(rdev, info, &params.chandef);
-+      if (err)
-+              return err;
++do_survey:
++      i = STA_STATS_LEN - STA_STATS_SURVEY_LEN;
++      /* Get survey stats for current channel */
++      survey.filled = 0;
 +
-+      if (!cfg80211_reg_can_beacon(&rdev->wiphy, &params.chandef))
-+              return -EINVAL;
++      rcu_read_lock();
++      chanctx_conf = rcu_dereference(sdata->vif.chanctx_conf);
++      if (chanctx_conf)
++              channel = chanctx_conf->def.chan;
++      else
++              channel = NULL;
++      rcu_read_unlock();
 +
-+      err = cfg80211_chandef_dfs_required(wdev->wiphy, &params.chandef);
-+      if (err < 0) {
-+              return err;
-+      } else if (err) {
-+              radar_detect_width = BIT(params.chandef.width);
-+              params.radar_required = true;
++      if (channel) {
++              q = 0;
++              do {
++                      survey.filled = 0;
++                      if (drv_get_survey(local, q, &survey) != 0) {
++                              survey.filled = 0;
++                              break;
++                      }
++                      q++;
++              } while (channel != survey.channel);
 +      }
 +
-+      err = cfg80211_can_use_iftype_chan(rdev, wdev, wdev->iftype,
-+                                         params.chandef.chan,
-+                                         CHAN_MODE_SHARED,
-+                                         radar_detect_width);
-+      if (err)
-+              return err;
++      if (survey.filled)
++              data[i++] = survey.channel->center_freq;
++      else
++              data[i++] = 0;
++      if (survey.filled & SURVEY_INFO_NOISE_DBM)
++              data[i++] = (u8)survey.noise;
++      else
++              data[i++] = -1LL;
++      if (survey.filled & SURVEY_INFO_CHANNEL_TIME)
++              data[i++] = survey.channel_time;
++      else
++              data[i++] = -1LL;
++      if (survey.filled & SURVEY_INFO_CHANNEL_TIME_BUSY)
++              data[i++] = survey.channel_time_busy;
++      else
++              data[i++] = -1LL;
++      if (survey.filled & SURVEY_INFO_CHANNEL_TIME_EXT_BUSY)
++              data[i++] = survey.channel_time_ext_busy;
++      else
++              data[i++] = -1LL;
++      if (survey.filled & SURVEY_INFO_CHANNEL_TIME_RX)
++              data[i++] = survey.channel_time_rx;
++      else
++              data[i++] = -1LL;
++      if (survey.filled & SURVEY_INFO_CHANNEL_TIME_TX)
++              data[i++] = survey.channel_time_tx;
++      else
++              data[i++] = -1LL;
++
++      mutex_unlock(&local->sta_mtx);
 +
-+      if (info->attrs[NL80211_ATTR_CH_SWITCH_BLOCK_TX])
-+              params.block_tx = true;
++      if (WARN_ON(i != STA_STATS_LEN))
++              return;
 +
-+      return rdev_channel_switch(rdev, dev, &params);
++      drv_get_et_stats(sdata, stats, &(data[STA_STATS_LEN]));
 +}
 +
- static int nl80211_send_bss(struct sk_buff *msg, struct netlink_callback *cb,
-                           u32 seq, int flags,
-                           struct cfg80211_registered_device *rdev,
-@@ -6507,6 +6623,9 @@ static int nl80211_testmode_dump(struct 
-                                          NL80211_CMD_TESTMODE);
-               struct nlattr *tmdata;
-+              if (!hdr)
-+                      break;
++static void ieee80211_get_strings(struct net_device *dev, u32 sset, u8 *data)
++{
++      struct ieee80211_sub_if_data *sdata = IEEE80211_DEV_TO_SUB_IF(dev);
++      int sz_sta_stats = 0;
 +
-               if (nla_put_u32(skb, NL80211_ATTR_WIPHY, phy_idx)) {
-                       genlmsg_cancel(skb, hdr);
-                       break;
-@@ -6615,12 +6734,14 @@ EXPORT_SYMBOL(cfg80211_testmode_alloc_ev
- void cfg80211_testmode_event(struct sk_buff *skb, gfp_t gfp)
- {
-+      struct cfg80211_registered_device *rdev = ((void **)skb->cb)[0];
-       void *hdr = ((void **)skb->cb)[1];
-       struct nlattr *data = ((void **)skb->cb)[2];
-       nla_nest_end(skb, data);
-       genlmsg_end(skb, hdr);
--      genlmsg_multicast(skb, 0, nl80211_testmode_mcgrp.id, gfp);
-+      genlmsg_multicast_netns(wiphy_net(&rdev->wiphy), skb, 0,
-+                              nl80211_testmode_mcgrp.id, gfp);
- }
- EXPORT_SYMBOL(cfg80211_testmode_event);
- #endif
-@@ -6949,9 +7070,8 @@ static int nl80211_remain_on_channel(str
-       hdr = nl80211hdr_put(msg, genl_info_snd_portid(info), info->snd_seq, 0,
-                            NL80211_CMD_REMAIN_ON_CHANNEL);
--
--      if (IS_ERR(hdr)) {
--              err = PTR_ERR(hdr);
-+      if (!hdr) {
-+              err = -ENOBUFS;
-               goto free_msg;
++      if (sset == ETH_SS_STATS) {
++              sz_sta_stats = sizeof(ieee80211_gstrings_sta_stats);
++              memcpy(data, ieee80211_gstrings_sta_stats, sz_sta_stats);
++      }
++      drv_get_et_strings(sdata, sset, &(data[sz_sta_stats]));
++}
++
++static int ieee80211_get_regs_len(struct net_device *dev)
++{
++      return 0;
++}
++
++static void ieee80211_get_regs(struct net_device *dev,
++                             struct ethtool_regs *regs,
++                             void *data)
++{
++      struct wireless_dev *wdev = dev->ieee80211_ptr;
++
++      regs->version = wdev->wiphy->hw_version;
++      regs->len = 0;
++}
++
++const struct ethtool_ops ieee80211_ethtool_ops = {
++      .get_drvinfo = cfg80211_get_drvinfo,
++      .get_regs_len = ieee80211_get_regs_len,
++      .get_regs = ieee80211_get_regs,
++      .get_link = ethtool_op_get_link,
++      .get_ringparam = ieee80211_get_ringparam,
++      .set_ringparam = ieee80211_set_ringparam,
++      .get_strings = ieee80211_get_strings,
++      .get_ethtool_stats = ieee80211_get_stats,
++      .get_sset_count = ieee80211_get_sset_count,
++};
+--- a/net/mac80211/ibss.c
++++ b/net/mac80211/ibss.c
+@@ -143,7 +143,7 @@ ieee80211_ibss_build_presp(struct ieee80
+               *pos++ = csa_settings->block_tx ? 1 : 0;
+               *pos++ = ieee80211_frequency_to_channel(
+                               csa_settings->chandef.chan->center_freq);
+-              sdata->csa_counter_offset_beacon[0] = (pos - presp->head);
++              presp->csa_counter_offsets[0] = (pos - presp->head);
+               *pos++ = csa_settings->count;
        }
  
-@@ -7249,9 +7369,8 @@ static int nl80211_tx_mgmt(struct sk_buf
-               hdr = nl80211hdr_put(msg, genl_info_snd_portid(info), info->snd_seq, 0,
-                                    NL80211_CMD_FRAME);
--
--              if (IS_ERR(hdr)) {
--                      err = PTR_ERR(hdr);
-+              if (!hdr) {
-+                      err = -ENOBUFS;
-                       goto free_msg;
-               }
+@@ -1677,6 +1677,7 @@ int ieee80211_ibss_join(struct ieee80211
+       sdata->u.ibss.control_port = params->control_port;
+       sdata->u.ibss.userspace_handles_dfs = params->userspace_handles_dfs;
+       sdata->u.ibss.basic_rates = params->basic_rates;
++      sdata->u.ibss.last_scan_completed = jiffies;
+       /* fix basic_rates if channel does not support these rates */
+       rate_flags = ieee80211_chandef_rate_flags(&params->chandef);
+--- a/net/mac80211/mesh.c
++++ b/net/mac80211/mesh.c
+@@ -679,7 +679,7 @@ ieee80211_mesh_build_beacon(struct ieee8
+               *pos++ = 0x0;
+               *pos++ = ieee80211_frequency_to_channel(
+                               csa->settings.chandef.chan->center_freq);
+-              sdata->csa_counter_offset_beacon[0] = hdr_len + 6;
++              bcn->csa_counter_offsets[0] = hdr_len + 6;
+               *pos++ = csa->settings.count;
+               *pos++ = WLAN_EID_CHAN_SWITCH_PARAM;
+               *pos++ = 6;
+--- a/net/wireless/genregdb.awk
++++ b/net/wireless/genregdb.awk
+@@ -65,17 +65,7 @@ function parse_reg_rule()
+       sub(/,/, "", units)
+       dfs_cac = $9
+       if (units == "mW") {
+-              if (power == 100) {
+-                      power = 20
+-              } else if (power == 200) {
+-                      power = 23
+-              } else if (power == 500) {
+-                      power = 27
+-              } else if (power == 1000) {
+-                      power = 30
+-              } else {
+-                      print "Unknown power value in database!"
+-              }
++              power = 10 * log(power)/log(10)
+       } else {
+               dfs_cac = $8
        }
-@@ -7593,12 +7712,11 @@ static int nl80211_send_wowlan_patterns(
-               if (!nl_pat)
-                       return -ENOBUFS;
-               pat_len = wowlan->patterns[i].pattern_len;
--              if (nla_put(msg, NL80211_WOWLAN_PKTPAT_MASK,
--                          DIV_ROUND_UP(pat_len, 8),
-+              if (nla_put(msg, NL80211_PKTPAT_MASK, DIV_ROUND_UP(pat_len, 8),
-                           wowlan->patterns[i].mask) ||
--                  nla_put(msg, NL80211_WOWLAN_PKTPAT_PATTERN,
--                          pat_len, wowlan->patterns[i].pattern) ||
--                  nla_put_u32(msg, NL80211_WOWLAN_PKTPAT_OFFSET,
-+                  nla_put(msg, NL80211_PKTPAT_PATTERN, pat_len,
-+                          wowlan->patterns[i].pattern) ||
-+                  nla_put_u32(msg, NL80211_PKTPAT_OFFSET,
-                               wowlan->patterns[i].pkt_offset))
-                       return -ENOBUFS;
-               nla_nest_end(msg, nl_pat);
-@@ -7939,7 +8057,7 @@ static int nl80211_set_wowlan(struct sk_
-               struct nlattr *pat;
-               int n_patterns = 0;
-               int rem, pat_len, mask_len, pkt_offset;
--              struct nlattr *pat_tb[NUM_NL80211_WOWLAN_PKTPAT];
-+              struct nlattr *pat_tb[NUM_NL80211_PKTPAT];
-               nla_for_each_nested(pat, tb[NL80211_WOWLAN_TRIG_PKT_PATTERN],
-                                   rem)
-@@ -7958,26 +8076,25 @@ static int nl80211_set_wowlan(struct sk_
-               nla_for_each_nested(pat, tb[NL80211_WOWLAN_TRIG_PKT_PATTERN],
-                                   rem) {
--                      nla_parse(pat_tb, MAX_NL80211_WOWLAN_PKTPAT,
--                                nla_data(pat), nla_len(pat), NULL);
-+                      nla_parse(pat_tb, MAX_NL80211_PKTPAT, nla_data(pat),
-+                                nla_len(pat), NULL);
-                       err = -EINVAL;
--                      if (!pat_tb[NL80211_WOWLAN_PKTPAT_MASK] ||
--                          !pat_tb[NL80211_WOWLAN_PKTPAT_PATTERN])
-+                      if (!pat_tb[NL80211_PKTPAT_MASK] ||
-+                          !pat_tb[NL80211_PKTPAT_PATTERN])
-                               goto error;
--                      pat_len = nla_len(pat_tb[NL80211_WOWLAN_PKTPAT_PATTERN]);
-+                      pat_len = nla_len(pat_tb[NL80211_PKTPAT_PATTERN]);
-                       mask_len = DIV_ROUND_UP(pat_len, 8);
--                      if (nla_len(pat_tb[NL80211_WOWLAN_PKTPAT_MASK]) !=
--                          mask_len)
-+                      if (nla_len(pat_tb[NL80211_PKTPAT_MASK]) != mask_len)
-                               goto error;
-                       if (pat_len > wowlan->pattern_max_len ||
-                           pat_len < wowlan->pattern_min_len)
-                               goto error;
--                      if (!pat_tb[NL80211_WOWLAN_PKTPAT_OFFSET])
-+                      if (!pat_tb[NL80211_PKTPAT_OFFSET])
-                               pkt_offset = 0;
-                       else
-                               pkt_offset = nla_get_u32(
--                                      pat_tb[NL80211_WOWLAN_PKTPAT_OFFSET]);
-+                                      pat_tb[NL80211_PKTPAT_OFFSET]);
-                       if (pkt_offset > wowlan->max_pkt_offset)
-                               goto error;
-                       new_triggers.patterns[i].pkt_offset = pkt_offset;
-@@ -7991,11 +8108,11 @@ static int nl80211_set_wowlan(struct sk_
-                       new_triggers.patterns[i].pattern =
-                               new_triggers.patterns[i].mask + mask_len;
-                       memcpy(new_triggers.patterns[i].mask,
--                             nla_data(pat_tb[NL80211_WOWLAN_PKTPAT_MASK]),
-+                             nla_data(pat_tb[NL80211_PKTPAT_MASK]),
-                              mask_len);
-                       new_triggers.patterns[i].pattern_len = pat_len;
-                       memcpy(new_triggers.patterns[i].pattern,
--                             nla_data(pat_tb[NL80211_WOWLAN_PKTPAT_PATTERN]),
-+                             nla_data(pat_tb[NL80211_PKTPAT_PATTERN]),
-                              pat_len);
-                       i++;
-               }
-@@ -8130,9 +8247,8 @@ static int nl80211_probe_client(struct s
+@@ -114,7 +104,7 @@ function parse_reg_rule()
  
-       hdr = nl80211hdr_put(msg, genl_info_snd_portid(info), info->snd_seq, 0,
-                            NL80211_CMD_PROBE_CLIENT);
--
--      if (IS_ERR(hdr)) {
--              err = PTR_ERR(hdr);
-+      if (!hdr) {
-+              err = -ENOBUFS;
-               goto free_msg;
        }
+       flags = flags "0"
+-      printf "\t\tREG_RULE_EXT(%d, %d, %d, %d, %d, %d, %s),\n", start, end, bw, gain, power, dfs_cac, flags
++      printf "\t\tREG_RULE_EXT(%d, %d, %d, %d, %.0f, %d, %s),\n", start, end, bw, gain, power, dfs_cac, flags
+       rules++
+ }
  
-@@ -9041,7 +9157,15 @@ static struct genl_ops nl80211_ops[] = {
-               .flags = GENL_ADMIN_PERM,
-               .internal_flags = NL80211_FLAG_NEED_WDEV_UP |
-                                 NL80211_FLAG_NEED_RTNL,
--      }
-+      },
-+      {
-+              .cmd = NL80211_CMD_CHANNEL_SWITCH,
-+              .doit = nl80211_channel_switch,
-+              .policy = nl80211_policy,
-+              .flags = GENL_ADMIN_PERM,
-+              .internal_flags = NL80211_FLAG_NEED_NETDEV_UP |
-+                                NL80211_FLAG_NEED_RTNL,
-+      },
- };
+--- a/net/mac80211/debugfs_netdev.c
++++ b/net/mac80211/debugfs_netdev.c
+@@ -34,8 +34,7 @@ static ssize_t ieee80211_if_read(
+       ssize_t ret = -EINVAL;
  
- static struct genl_multicast_group nl80211_mlme_mcgrp = {
-@@ -10066,7 +10190,8 @@ void cfg80211_mgmt_tx_status(struct wire
+       read_lock(&dev_base_lock);
+-      if (sdata->dev->reg_state == NETREG_REGISTERED)
+-              ret = (*format)(sdata, buf, sizeof(buf));
++      ret = (*format)(sdata, buf, sizeof(buf));
+       read_unlock(&dev_base_lock);
  
-       genlmsg_end(msg, hdr);
+       if (ret >= 0)
+@@ -62,8 +61,7 @@ static ssize_t ieee80211_if_write(
  
--      genlmsg_multicast(msg, 0, nl80211_mlme_mcgrp.id, gfp);
-+      genlmsg_multicast_netns(wiphy_net(&rdev->wiphy), msg, 0,
-+                              nl80211_mlme_mcgrp.id, gfp);
-       return;
+       ret = -ENODEV;
+       rtnl_lock();
+-      if (sdata->dev->reg_state == NETREG_REGISTERED)
+-              ret = (*write)(sdata, buf, count);
++      ret = (*write)(sdata, buf, count);
+       rtnl_unlock();
  
-  nla_put_failure:
+       return ret;
+--- a/drivers/net/wireless/b43/xmit.c
++++ b/drivers/net/wireless/b43/xmit.c
+@@ -811,9 +811,13 @@ void b43_rx(struct b43_wldev *dev, struc
+               break;
+       case B43_PHYTYPE_G:
+               status.band = IEEE80211_BAND_2GHZ;
+-              /* chanid is the radio channel cookie value as used
+-               * to tune the radio. */
+-              status.freq = chanid + 2400;
++              /* Somewhere between 478.104 and 508.1084 firmware for G-PHY
++               * has been modified to be compatible with N-PHY and others.
++               */
++              if (dev->fw.rev >= 508)
++                      status.freq = ieee80211_channel_to_frequency(chanid, status.band);
++              else
++                      status.freq = chanid + 2400;
+               break;
+       case B43_PHYTYPE_N:
+       case B43_PHYTYPE_LP:
+--- a/drivers/net/wireless/b43/main.c
++++ b/drivers/net/wireless/b43/main.c
+@@ -5216,6 +5216,7 @@ static int b43_wireless_core_attach(stru
+       /* We don't support 5 GHz on some PHYs yet */
+       switch (dev->phy.type) {
+       case B43_PHYTYPE_A:
++      case B43_PHYTYPE_G:
+       case B43_PHYTYPE_N:
+       case B43_PHYTYPE_LP:
+       case B43_PHYTYPE_HT:
 --- a/net/wireless/reg.c
 +++ b/net/wireless/reg.c
-@@ -2247,10 +2247,13 @@ int reg_device_uevent(struct device *dev
- void wiphy_regulatory_register(struct wiphy *wiphy)
- {
-+      struct regulatory_request *lr;
-+
-       if (!reg_dev_ignore_cell_hint(wiphy))
-               reg_num_devs_support_basehint++;
+@@ -935,7 +935,7 @@ freq_reg_info_regd(struct wiphy *wiphy, 
+               if (!band_rule_found)
+                       band_rule_found = freq_in_rule_band(fr, center_freq);
  
--      wiphy_update_regulatory(wiphy, NL80211_REGDOM_SET_BY_CORE);
-+      lr = get_last_request();
-+      wiphy_update_regulatory(wiphy, lr->initiator);
- }
+-              bw_fits = reg_does_bw_fit(fr, center_freq, MHZ_TO_KHZ(5));
++              bw_fits = reg_does_bw_fit(fr, center_freq, MHZ_TO_KHZ(20));
  
- void wiphy_regulatory_deregister(struct wiphy *wiphy)
-@@ -2279,7 +2282,9 @@ void wiphy_regulatory_deregister(struct 
- static void reg_timeout_work(struct work_struct *work)
- {
-       REG_DBG_PRINT("Timeout while waiting for CRDA to reply, restoring regulatory settings\n");
-+      rtnl_lock();
-       restore_regulatory_settings(true);
-+      rtnl_unlock();
+               if (band_rule_found && bw_fits)
+                       return rr;
+@@ -1019,10 +1019,10 @@ static void chan_reg_rule_print_dbg(cons
  }
+ #endif
  
- int __init regulatory_init(void)
---- a/net/wireless/sme.c
-+++ b/net/wireless/sme.c
-@@ -34,8 +34,10 @@ struct cfg80211_conn {
-               CFG80211_CONN_SCAN_AGAIN,
-               CFG80211_CONN_AUTHENTICATE_NEXT,
-               CFG80211_CONN_AUTHENTICATING,
-+              CFG80211_CONN_AUTH_FAILED,
-               CFG80211_CONN_ASSOCIATE_NEXT,
-               CFG80211_CONN_ASSOCIATING,
-+              CFG80211_CONN_ASSOC_FAILED,
-               CFG80211_CONN_DEAUTH,
-               CFG80211_CONN_CONNECTED,
-       } state;
-@@ -164,6 +166,8 @@ static int cfg80211_conn_do_work(struct 
-                                         NULL, 0,
-                                         params->key, params->key_len,
-                                         params->key_idx, NULL, 0);
-+      case CFG80211_CONN_AUTH_FAILED:
-+              return -ENOTCONN;
-       case CFG80211_CONN_ASSOCIATE_NEXT:
-               BUG_ON(!rdev->ops->assoc);
-               wdev->conn->state = CFG80211_CONN_ASSOCIATING;
-@@ -188,10 +192,17 @@ static int cfg80211_conn_do_work(struct 
-                                            WLAN_REASON_DEAUTH_LEAVING,
-                                            false);
-               return err;
-+      case CFG80211_CONN_ASSOC_FAILED:
-+              cfg80211_mlme_deauth(rdev, wdev->netdev, params->bssid,
-+                                   NULL, 0,
-+                                   WLAN_REASON_DEAUTH_LEAVING, false);
-+              return -ENOTCONN;
-       case CFG80211_CONN_DEAUTH:
-               cfg80211_mlme_deauth(rdev, wdev->netdev, params->bssid,
-                                    NULL, 0,
-                                    WLAN_REASON_DEAUTH_LEAVING, false);
-+              /* free directly, disconnected event already sent */
-+              cfg80211_sme_free(wdev);
-               return 0;
-       default:
-               return 0;
-@@ -371,7 +382,7 @@ bool cfg80211_sme_rx_assoc_resp(struct w
-               return true;
-       }
+-/* Find an ieee80211_reg_rule such that a 5MHz channel with frequency
+- * chan->center_freq fits there.
+- * If there is no such reg_rule, disable the channel, otherwise set the
+- * flags corresponding to the bandwidths allowed in the particular reg_rule
++/*
++ * Note that right now we assume the desired channel bandwidth
++ * is always 20 MHz for each individual channel (HT40 uses 20 MHz
++ * per channel, the primary and the extension channel).
+  */
+ static void handle_channel(struct wiphy *wiphy,
+                          enum nl80211_reg_initiator initiator,
+@@ -1083,12 +1083,8 @@ static void handle_channel(struct wiphy 
+       if (reg_rule->flags & NL80211_RRF_AUTO_BW)
+               max_bandwidth_khz = reg_get_max_bandwidth(regd, reg_rule);
+-      if (max_bandwidth_khz < MHZ_TO_KHZ(10))
+-              bw_flags = IEEE80211_CHAN_NO_10MHZ;
+-      if (max_bandwidth_khz < MHZ_TO_KHZ(20))
+-              bw_flags |= IEEE80211_CHAN_NO_20MHZ;
+       if (max_bandwidth_khz < MHZ_TO_KHZ(40))
+-              bw_flags |= IEEE80211_CHAN_NO_HT40;
++              bw_flags = IEEE80211_CHAN_NO_HT40;
+       if (max_bandwidth_khz < MHZ_TO_KHZ(80))
+               bw_flags |= IEEE80211_CHAN_NO_80MHZ;
+       if (max_bandwidth_khz < MHZ_TO_KHZ(160))
+@@ -1522,12 +1518,8 @@ static void handle_channel_custom(struct
+       if (reg_rule->flags & NL80211_RRF_AUTO_BW)
+               max_bandwidth_khz = reg_get_max_bandwidth(regd, reg_rule);
+-      if (max_bandwidth_khz < MHZ_TO_KHZ(10))
+-              bw_flags = IEEE80211_CHAN_NO_10MHZ;
+-      if (max_bandwidth_khz < MHZ_TO_KHZ(20))
+-              bw_flags |= IEEE80211_CHAN_NO_20MHZ;
+       if (max_bandwidth_khz < MHZ_TO_KHZ(40))
+-              bw_flags |= IEEE80211_CHAN_NO_HT40;
++              bw_flags = IEEE80211_CHAN_NO_HT40;
+       if (max_bandwidth_khz < MHZ_TO_KHZ(80))
+               bw_flags |= IEEE80211_CHAN_NO_80MHZ;
+       if (max_bandwidth_khz < MHZ_TO_KHZ(160))
+--- a/drivers/net/wireless/ath/ath9k/ath9k.h
++++ b/drivers/net/wireless/ath/ath9k/ath9k.h
+@@ -185,7 +185,8 @@ struct ath_atx_ac {
  
--      wdev->conn->state = CFG80211_CONN_DEAUTH;
-+      wdev->conn->state = CFG80211_CONN_ASSOC_FAILED;
-       schedule_work(&rdev->conn_work);
-       return false;
- }
-@@ -383,7 +394,13 @@ void cfg80211_sme_deauth(struct wireless
- void cfg80211_sme_auth_timeout(struct wireless_dev *wdev)
- {
--      cfg80211_sme_free(wdev);
-+      struct cfg80211_registered_device *rdev = wiphy_to_dev(wdev->wiphy);
-+
-+      if (!wdev->conn)
-+              return;
-+
-+      wdev->conn->state = CFG80211_CONN_AUTH_FAILED;
-+      schedule_work(&rdev->conn_work);
- }
- void cfg80211_sme_disassoc(struct wireless_dev *wdev)
-@@ -399,7 +416,13 @@ void cfg80211_sme_disassoc(struct wirele
- void cfg80211_sme_assoc_timeout(struct wireless_dev *wdev)
- {
--      cfg80211_sme_disassoc(wdev);
-+      struct cfg80211_registered_device *rdev = wiphy_to_dev(wdev->wiphy);
-+
-+      if (!wdev->conn)
-+              return;
-+
-+      wdev->conn->state = CFG80211_CONN_ASSOC_FAILED;
-+      schedule_work(&rdev->conn_work);
- }
- static int cfg80211_sme_connect(struct wireless_dev *wdev,
-@@ -953,21 +976,19 @@ int cfg80211_disconnect(struct cfg80211_
-                       struct net_device *dev, u16 reason, bool wextev)
- {
-       struct wireless_dev *wdev = dev->ieee80211_ptr;
--      int err;
-+      int err = 0;
-       ASSERT_WDEV_LOCK(wdev);
-       kfree(wdev->connect_keys);
-       wdev->connect_keys = NULL;
--      if (wdev->conn) {
-+      if (wdev->conn)
-               err = cfg80211_sme_disconnect(wdev, reason);
--      } else if (!rdev->ops->disconnect) {
-+      else if (!rdev->ops->disconnect)
-               cfg80211_mlme_down(rdev, dev);
--              err = 0;
--      } else {
-+      else if (wdev->current_bss)
-               err = rdev_disconnect(rdev, dev, reason);
--      }
-       return err;
- }
---- a/net/mac80211/rc80211_minstrel.c
-+++ b/net/mac80211/rc80211_minstrel.c
-@@ -203,6 +203,15 @@ minstrel_update_stats(struct minstrel_pr
-       memcpy(mi->max_tp_rate, tmp_tp_rate, sizeof(mi->max_tp_rate));
-       mi->max_prob_rate = tmp_prob_rate;
-+#ifdef CPTCFG_MAC80211_DEBUGFS
-+      /* use fixed index if set */
-+      if (mp->fixed_rate_idx != -1) {
-+              mi->max_tp_rate[0] = mp->fixed_rate_idx;
-+              mi->max_tp_rate[1] = mp->fixed_rate_idx;
-+              mi->max_prob_rate = mp->fixed_rate_idx;
-+      }
-+#endif
-+
-       /* Reset update timer */
-       mi->stats_update = jiffies;
-@@ -290,7 +299,7 @@ minstrel_get_rate(void *priv, struct iee
-       struct minstrel_rate *msr, *mr;
-       unsigned int ndx;
-       bool mrr_capable;
--      bool prev_sample = mi->prev_sample;
-+      bool prev_sample;
-       int delta;
-       int sampling_ratio;
-@@ -310,10 +319,16 @@ minstrel_get_rate(void *priv, struct iee
-       /* increase sum packet counter */
-       mi->packet_count++;
-+#ifdef CPTCFG_MAC80211_DEBUGFS
-+      if (mp->fixed_rate_idx != -1)
-+              return;
-+#endif
-+
-       delta = (mi->packet_count * sampling_ratio / 100) -
-                       (mi->sample_count + mi->sample_deferred / 2);
-       /* delta < 0: no sampling required */
-+      prev_sample = mi->prev_sample;
-       mi->prev_sample = false;
-       if (delta < 0 || (!mrr_capable && prev_sample))
-               return;
---- a/drivers/net/wireless/rt2x00/rt2x00queue.c
-+++ b/drivers/net/wireless/rt2x00/rt2x00queue.c
-@@ -936,13 +936,8 @@ void rt2x00queue_index_inc(struct queue_
-       spin_unlock_irqrestore(&queue->index_lock, irqflags);
- }
--void rt2x00queue_pause_queue(struct data_queue *queue)
-+void rt2x00queue_pause_queue_nocheck(struct data_queue *queue)
- {
--      if (!test_bit(DEVICE_STATE_PRESENT, &queue->rt2x00dev->flags) ||
--          !test_bit(QUEUE_STARTED, &queue->flags) ||
--          test_and_set_bit(QUEUE_PAUSED, &queue->flags))
--              return;
--
-       switch (queue->qid) {
-       case QID_AC_VO:
-       case QID_AC_VI:
-@@ -958,6 +953,15 @@ void rt2x00queue_pause_queue(struct data
-               break;
-       }
- }
-+void rt2x00queue_pause_queue(struct data_queue *queue)
-+{
-+      if (!test_bit(DEVICE_STATE_PRESENT, &queue->rt2x00dev->flags) ||
-+          !test_bit(QUEUE_STARTED, &queue->flags) ||
-+          test_and_set_bit(QUEUE_PAUSED, &queue->flags))
-+              return;
-+
-+      rt2x00queue_pause_queue_nocheck(queue);
-+}
- EXPORT_SYMBOL_GPL(rt2x00queue_pause_queue);
- void rt2x00queue_unpause_queue(struct data_queue *queue)
-@@ -1019,7 +1023,7 @@ void rt2x00queue_stop_queue(struct data_
-               return;
-       }
--      rt2x00queue_pause_queue(queue);
-+      rt2x00queue_pause_queue_nocheck(queue);
-       queue->rt2x00dev->ops->lib->stop_queue(queue);
---- a/net/mac80211/mlme.c
-+++ b/net/mac80211/mlme.c
-@@ -31,10 +31,12 @@
- #include "led.h"
- #define IEEE80211_AUTH_TIMEOUT                (HZ / 5)
-+#define IEEE80211_AUTH_TIMEOUT_LONG   (HZ / 2)
- #define IEEE80211_AUTH_TIMEOUT_SHORT  (HZ / 10)
- #define IEEE80211_AUTH_MAX_TRIES      3
- #define IEEE80211_AUTH_WAIT_ASSOC     (HZ * 5)
- #define IEEE80211_ASSOC_TIMEOUT               (HZ / 5)
-+#define IEEE80211_ASSOC_TIMEOUT_LONG  (HZ / 2)
- #define IEEE80211_ASSOC_TIMEOUT_SHORT (HZ / 10)
- #define IEEE80211_ASSOC_MAX_TRIES     3
-@@ -209,8 +211,9 @@ ieee80211_determine_chantype(struct ieee
-                            struct ieee80211_channel *channel,
-                            const struct ieee80211_ht_operation *ht_oper,
-                            const struct ieee80211_vht_operation *vht_oper,
--                           struct cfg80211_chan_def *chandef, bool verbose)
-+                           struct cfg80211_chan_def *chandef, bool tracking)
- {
-+      struct ieee80211_if_managed *ifmgd = &sdata->u.mgd;
-       struct cfg80211_chan_def vht_chandef;
-       u32 ht_cfreq, ret;
-@@ -229,7 +232,7 @@ ieee80211_determine_chantype(struct ieee
-       ht_cfreq = ieee80211_channel_to_frequency(ht_oper->primary_chan,
-                                                 channel->band);
-       /* check that channel matches the right operating channel */
--      if (channel->center_freq != ht_cfreq) {
-+      if (!tracking && channel->center_freq != ht_cfreq) {
-               /*
-                * It's possible that some APs are confused here;
-                * Netgear WNDR3700 sometimes reports 4 higher than
-@@ -237,11 +240,10 @@ ieee80211_determine_chantype(struct ieee
-                * since we look at probe response/beacon data here
-                * it should be OK.
-                */
--              if (verbose)
--                      sdata_info(sdata,
--                                 "Wrong control channel: center-freq: %d ht-cfreq: %d ht->primary_chan: %d band: %d - Disabling HT\n",
--                                 channel->center_freq, ht_cfreq,
--                                 ht_oper->primary_chan, channel->band);
-+              sdata_info(sdata,
-+                         "Wrong control channel: center-freq: %d ht-cfreq: %d ht->primary_chan: %d band: %d - Disabling HT\n",
-+                         channel->center_freq, ht_cfreq,
-+                         ht_oper->primary_chan, channel->band);
-               ret = IEEE80211_STA_DISABLE_HT | IEEE80211_STA_DISABLE_VHT;
-               goto out;
-       }
-@@ -295,7 +297,7 @@ ieee80211_determine_chantype(struct ieee
-                               channel->band);
-               break;
-       default:
--              if (verbose)
-+              if (!(ifmgd->flags & IEEE80211_STA_DISABLE_VHT))
-                       sdata_info(sdata,
-                                  "AP VHT operation IE has invalid channel width (%d), disable VHT\n",
-                                  vht_oper->chan_width);
-@@ -304,7 +306,7 @@ ieee80211_determine_chantype(struct ieee
-       }
-       if (!cfg80211_chandef_valid(&vht_chandef)) {
--              if (verbose)
-+              if (!(ifmgd->flags & IEEE80211_STA_DISABLE_VHT))
-                       sdata_info(sdata,
-                                  "AP VHT information is invalid, disable VHT\n");
-               ret = IEEE80211_STA_DISABLE_VHT;
-@@ -317,7 +319,7 @@ ieee80211_determine_chantype(struct ieee
-       }
-       if (!cfg80211_chandef_compatible(chandef, &vht_chandef)) {
--              if (verbose)
-+              if (!(ifmgd->flags & IEEE80211_STA_DISABLE_VHT))
-                       sdata_info(sdata,
-                                  "AP VHT information doesn't match HT, disable VHT\n");
-               ret = IEEE80211_STA_DISABLE_VHT;
-@@ -333,18 +335,27 @@ out:
-       if (ret & IEEE80211_STA_DISABLE_VHT)
-               vht_chandef = *chandef;
-+      /*
-+       * Ignore the DISABLED flag when we're already connected and only
-+       * tracking the APs beacon for bandwidth changes - otherwise we
-+       * might get disconnected here if we connect to an AP, update our
-+       * regulatory information based on the AP's country IE and the
-+       * information we have is wrong/outdated and disables the channel
-+       * that we're actually using for the connection to the AP.
-+       */
-       while (!cfg80211_chandef_usable(sdata->local->hw.wiphy, chandef,
--                                      IEEE80211_CHAN_DISABLED)) {
-+                                      tracking ? 0 :
-+                                                 IEEE80211_CHAN_DISABLED)) {
-               if (WARN_ON(chandef->width == NL80211_CHAN_WIDTH_20_NOHT)) {
-                       ret = IEEE80211_STA_DISABLE_HT |
-                             IEEE80211_STA_DISABLE_VHT;
--                      goto out;
-+                      break;
-               }
-               ret |= chandef_downgrade(chandef);
-       }
--      if (chandef->width != vht_chandef.width && verbose)
-+      if (chandef->width != vht_chandef.width && !tracking)
-               sdata_info(sdata,
-                          "capabilities/regulatory prevented using AP HT/VHT configuration, downgraded\n");
-@@ -384,7 +395,7 @@ static int ieee80211_config_bw(struct ie
-       /* calculate new channel (type) based on HT/VHT operation IEs */
-       flags = ieee80211_determine_chantype(sdata, sband, chan, ht_oper,
--                                           vht_oper, &chandef, false);
-+                                           vht_oper, &chandef, true);
-       /*
-        * Downgrade the new channel if we associated with restricted
-@@ -1043,6 +1054,13 @@ ieee80211_sta_process_chanswitch(struct 
-               if (!ieee80211_operating_class_to_band(
-                               elems->ext_chansw_ie->new_operating_class,
-                               &new_band)) {
-+                      /*
-+                       * Some APs send invalid ECSA IEs in probe response
-+                       * frames, so check for these and ignore them.
-+                       */
-+                      if (beacon && elems->ext_chansw_ie->new_ch_num == 0 &&
-+                          elems->ext_chansw_ie->new_operating_class == 0)
-+                              return;
-                       sdata_info(sdata,
-                                  "cannot understand ECSA IE operating class %d, disconnecting\n",
-                                  elems->ext_chansw_ie->new_operating_class);
-@@ -1110,6 +1128,15 @@ ieee80211_sta_process_chanswitch(struct 
-       case -1:
-               cfg80211_chandef_create(&new_chandef, new_chan,
-                                       NL80211_CHAN_NO_HT);
-+              /* keep width for 5/10 MHz channels */
-+              switch (sdata->vif.bss_conf.chandef.width) {
-+              case NL80211_CHAN_WIDTH_5:
-+              case NL80211_CHAN_WIDTH_10:
-+                      new_chandef.width = sdata->vif.bss_conf.chandef.width;
-+                      break;
-+              default:
-+                      break;
-+              }
-               break;
-       }
-@@ -3394,10 +3421,13 @@ static int ieee80211_probe_auth(struct i
-       if (tx_flags == 0) {
-               auth_data->timeout = jiffies + IEEE80211_AUTH_TIMEOUT;
--              ifmgd->auth_data->timeout_started = true;
-+              auth_data->timeout_started = true;
-               run_again(sdata, auth_data->timeout);
-       } else {
--              auth_data->timeout_started = false;
-+              auth_data->timeout =
-+                      round_jiffies_up(jiffies + IEEE80211_AUTH_TIMEOUT_LONG);
-+              auth_data->timeout_started = true;
-+              run_again(sdata, auth_data->timeout);
-       }
-       return 0;
-@@ -3434,7 +3464,11 @@ static int ieee80211_do_assoc(struct iee
-               assoc_data->timeout_started = true;
-               run_again(sdata, assoc_data->timeout);
-       } else {
--              assoc_data->timeout_started = false;
-+              assoc_data->timeout =
-+                      round_jiffies_up(jiffies +
-+                                       IEEE80211_ASSOC_TIMEOUT_LONG);
-+              assoc_data->timeout_started = true;
-+              run_again(sdata, assoc_data->timeout);
-       }
-       return 0;
-@@ -3829,7 +3863,7 @@ static int ieee80211_prep_channel(struct
-       ifmgd->flags |= ieee80211_determine_chantype(sdata, sband,
-                                                    cbss->channel,
-                                                    ht_oper, vht_oper,
--                                                   &chandef, true);
-+                                                   &chandef, false);
-       sdata->needed_rx_chains = min(ieee80211_ht_vht_rx_chains(sdata, cbss),
-                                     local->rx_chains);
---- a/net/wireless/core.c
-+++ b/net/wireless/core.c
-@@ -772,6 +772,7 @@ void cfg80211_leave(struct cfg80211_regi
-               cfg80211_leave_mesh(rdev, dev);
-               break;
-       case NL80211_IFTYPE_AP:
-+      case NL80211_IFTYPE_P2P_GO:
-               cfg80211_stop_ap(rdev, dev);
-               break;
-       default:
---- a/drivers/net/wireless/rtlwifi/Kconfig
-+++ b/drivers/net/wireless/rtlwifi/Kconfig
-@@ -1,29 +1,22 @@
--config RTLWIFI
--      tristate "Realtek wireless card support"
-+menuconfig RTL_CARDS
-+      tristate "Realtek rtlwifi family of devices"
-       depends on m
--      depends on MAC80211
--      select BACKPORT_FW_LOADER
--      ---help---
--        This is common code for RTL8192CE/RTL8192CU/RTL8192SE/RTL8723AE
--        drivers.  This module does nothing by itself - the various front-end
--        drivers need to be enabled to support any desired devices.
--
--        If you choose to build as a module, it'll be called rtlwifi.
--
--config RTLWIFI_DEBUG
--      bool "Debugging output for rtlwifi driver family"
--      depends on RTLWIFI
-+      depends on MAC80211 && (PCI || USB)
-       default y
-       ---help---
--      To use the module option that sets the dynamic-debugging level for,
--      the front-end driver, this parameter must be "Y". For memory-limited
--      systems, choose "N". If in doubt, choose "Y".
-+        This option will enable support for the Realtek mac80211-based
-+        wireless drivers. Drivers rtl8192ce, rtl8192cu, rtl8192se, rtl8192de,
-+        rtl8723eu, and rtl8188eu share some common code.
-+
-+if RTL_CARDS
- config RTL8192CE
-       tristate "Realtek RTL8192CE/RTL8188CE Wireless Network Adapter"
-       depends on m
--      depends on RTLWIFI && PCI
-+      depends on PCI
-       select RTL8192C_COMMON
-+      select RTLWIFI
-+      select RTLWIFI_PCI
-       ---help---
-       This is the driver for Realtek RTL8192CE/RTL8188CE 802.11n PCIe
-       wireless network adapters.
-@@ -33,7 +26,9 @@ config RTL8192CE
- config RTL8192SE
-       tristate "Realtek RTL8192SE/RTL8191SE PCIe Wireless Network Adapter"
-       depends on m
--      depends on RTLWIFI && PCI
-+      depends on PCI
-+      select RTLWIFI
-+      select RTLWIFI_PCI
-       ---help---
-       This is the driver for Realtek RTL8192SE/RTL8191SE 802.11n PCIe
-       wireless network adapters.
-@@ -43,7 +38,9 @@ config RTL8192SE
- config RTL8192DE
-       tristate "Realtek RTL8192DE/RTL8188DE PCIe Wireless Network Adapter"
-       depends on m
--      depends on RTLWIFI && PCI
-+      depends on PCI
-+      select RTLWIFI
-+      select RTLWIFI_PCI
-       ---help---
-       This is the driver for Realtek RTL8192DE/RTL8188DE 802.11n PCIe
-       wireless network adapters.
-@@ -53,7 +50,9 @@ config RTL8192DE
- config RTL8723AE
-       tristate "Realtek RTL8723AE PCIe Wireless Network Adapter"
-       depends on m
--      depends on RTLWIFI && PCI
-+      depends on PCI
-+      select RTLWIFI
-+      select RTLWIFI_PCI
-       ---help---
-       This is the driver for Realtek RTL8723AE 802.11n PCIe
-       wireless network adapters.
-@@ -63,7 +62,9 @@ config RTL8723AE
- config RTL8188EE
-       tristate "Realtek RTL8188EE Wireless Network Adapter"
-       depends on m
--      depends on RTLWIFI && PCI
-+      depends on PCI
-+      select RTLWIFI
-+      select RTLWIFI_PCI
-       ---help---
-       This is the driver for Realtek RTL8188EE 802.11n PCIe
-       wireless network adapters.
-@@ -73,7 +74,9 @@ config RTL8188EE
- config RTL8192CU
-       tristate "Realtek RTL8192CU/RTL8188CU USB Wireless Network Adapter"
-       depends on m
--      depends on RTLWIFI && USB
-+      depends on USB
-+      select RTLWIFI
-+      select RTLWIFI_USB
-       select RTL8192C_COMMON
-       ---help---
-       This is the driver for Realtek RTL8192CU/RTL8188CU 802.11n USB
-@@ -81,8 +84,32 @@ config RTL8192CU
-       If you choose to build it as a module, it will be called rtl8192cu
-+config RTLWIFI
-+      tristate
-+      depends on m
-+      select BACKPORT_FW_LOADER
-+
-+config RTLWIFI_PCI
-+      tristate
-+      depends on m
-+
-+config RTLWIFI_USB
-+      tristate
-+      depends on m
-+
-+config RTLWIFI_DEBUG
-+      bool "Debugging output for rtlwifi driver family"
-+      depends on RTLWIFI
-+      default y
-+      ---help---
-+      To use the module option that sets the dynamic-debugging level for,
-+      the front-end driver, this parameter must be "Y". For memory-limited
-+      systems, choose "N". If in doubt, choose "Y".
-+
- config RTL8192C_COMMON
-       tristate
-       depends on m
-       depends on RTL8192CE || RTL8192CU
--      default m
-+      default y
-+
-+endif
---- a/drivers/net/wireless/rtlwifi/Makefile
-+++ b/drivers/net/wireless/rtlwifi/Makefile
-@@ -12,13 +12,11 @@ rtlwifi-objs       :=              \
- rtl8192c_common-objs +=               \
--ifneq ($(CONFIG_PCI),)
--rtlwifi-objs  += pci.o
--endif
-+obj-$(CPTCFG_RTLWIFI_PCI)     += rtl_pci.o
-+rtl_pci-objs  :=              pci.o
--ifneq ($(CONFIG_USB),)
--rtlwifi-objs  += usb.o
--endif
-+obj-$(CPTCFG_RTLWIFI_USB)     += rtl_usb.o
-+rtl_usb-objs  :=              usb.o
- obj-$(CPTCFG_RTL8192C_COMMON) += rtl8192c/
- obj-$(CPTCFG_RTL8192CE)               += rtl8192ce/
---- a/drivers/net/wireless/rtlwifi/ps.h
-+++ b/drivers/net/wireless/rtlwifi/ps.h
-@@ -49,5 +49,6 @@ void rtl_swlps_rf_awake(struct ieee80211
- void rtl_swlps_rf_sleep(struct ieee80211_hw *hw);
- void rtl_p2p_ps_cmd(struct ieee80211_hw *hw, u8 p2p_ps_state);
- void rtl_p2p_info(struct ieee80211_hw *hw, void *data, unsigned int len);
-+void rtl_lps_change_work_callback(struct work_struct *work);
- #endif
---- a/drivers/net/wireless/rtlwifi/base.c
-+++ b/drivers/net/wireless/rtlwifi/base.c
-@@ -173,6 +173,7 @@ u8 rtl_tid_to_ac(u8 tid)
- {
-       return tid_to_ac[tid];
- }
-+EXPORT_SYMBOL_GPL(rtl_tid_to_ac);
- static void _rtl_init_hw_ht_capab(struct ieee80211_hw *hw,
-                                 struct ieee80211_sta_ht_cap *ht_cap)
-@@ -407,6 +408,7 @@ void rtl_deinit_deferred_work(struct iee
-       cancel_delayed_work(&rtlpriv->works.ps_rfon_wq);
-       cancel_delayed_work(&rtlpriv->works.fwevt_wq);
- }
-+EXPORT_SYMBOL_GPL(rtl_deinit_deferred_work);
- void rtl_init_rfkill(struct ieee80211_hw *hw)
- {
-@@ -440,6 +442,7 @@ void rtl_deinit_rfkill(struct ieee80211_
- {
-       wiphy_rfkill_stop_polling(hw->wiphy);
- }
-+EXPORT_SYMBOL_GPL(rtl_deinit_rfkill);
- int rtl_init_core(struct ieee80211_hw *hw)
- {
-@@ -490,10 +493,12 @@ int rtl_init_core(struct ieee80211_hw *h
-       return 0;
- }
-+EXPORT_SYMBOL_GPL(rtl_init_core);
- void rtl_deinit_core(struct ieee80211_hw *hw)
- {
- }
-+EXPORT_SYMBOL_GPL(rtl_deinit_core);
- void rtl_init_rx_config(struct ieee80211_hw *hw)
- {
-@@ -502,6 +507,7 @@ void rtl_init_rx_config(struct ieee80211
-       rtlpriv->cfg->ops->get_hw_reg(hw, HW_VAR_RCR, (u8 *) (&mac->rx_conf));
- }
-+EXPORT_SYMBOL_GPL(rtl_init_rx_config);
- /*********************************************************
-  *
-@@ -880,6 +886,7 @@ bool rtl_tx_mgmt_proc(struct ieee80211_h
-       return true;
- }
-+EXPORT_SYMBOL_GPL(rtl_tx_mgmt_proc);
- void rtl_get_tcb_desc(struct ieee80211_hw *hw,
-                     struct ieee80211_tx_info *info,
-@@ -1053,6 +1060,7 @@ bool rtl_action_proc(struct ieee80211_hw
-       return true;
- }
-+EXPORT_SYMBOL_GPL(rtl_action_proc);
- /*should call before software enc*/
- u8 rtl_is_special_data(struct ieee80211_hw *hw, struct sk_buff *skb, u8 is_tx)
-@@ -1126,6 +1134,7 @@ u8 rtl_is_special_data(struct ieee80211_
-       return false;
- }
-+EXPORT_SYMBOL_GPL(rtl_is_special_data);
- /*********************************************************
-  *
-@@ -1301,6 +1310,7 @@ void rtl_beacon_statistic(struct ieee802
-       rtlpriv->link_info.bcn_rx_inperiod++;
- }
-+EXPORT_SYMBOL_GPL(rtl_beacon_statistic);
- void rtl_watchdog_wq_callback(void *data)
- {
-@@ -1794,6 +1804,7 @@ void rtl_recognize_peer(struct ieee80211
-       mac->vendor = vendor;
- }
-+EXPORT_SYMBOL_GPL(rtl_recognize_peer);
- /*********************************************************
-  *
-@@ -1850,6 +1861,7 @@ struct attribute_group rtl_attribute_gro
-       .name = "rtlsysfs",
-       .attrs = rtl_sysfs_entries,
- };
-+EXPORT_SYMBOL_GPL(rtl_attribute_group);
- MODULE_AUTHOR("lizhaoming     <chaoming_li@realsil.com.cn>");
- MODULE_AUTHOR("Realtek WlanFAE        <wlanfae@realtek.com>");
-@@ -1857,7 +1869,8 @@ MODULE_AUTHOR("Larry Finger      <Larry.FInge
- MODULE_LICENSE("GPL");
- MODULE_DESCRIPTION("Realtek 802.11n PCI wireless core");
--struct rtl_global_var global_var = {};
-+struct rtl_global_var rtl_global_var = {};
-+EXPORT_SYMBOL_GPL(rtl_global_var);
- static int __init rtl_core_module_init(void)
- {
-@@ -1865,8 +1878,8 @@ static int __init rtl_core_module_init(v
-               pr_err("Unable to register rtl_rc, use default RC !!\n");
-       /* init some global vars */
--      INIT_LIST_HEAD(&global_var.glb_priv_list);
--      spin_lock_init(&global_var.glb_list_lock);
-+      INIT_LIST_HEAD(&rtl_global_var.glb_priv_list);
-+      spin_lock_init(&rtl_global_var.glb_list_lock);
-       return 0;
- }
---- a/drivers/net/wireless/rtlwifi/base.h
-+++ b/drivers/net/wireless/rtlwifi/base.h
-@@ -147,7 +147,7 @@ void rtl_recognize_peer(struct ieee80211
- u8 rtl_tid_to_ac(u8 tid);
- extern struct attribute_group rtl_attribute_group;
- void rtl_easy_concurrent_retrytimer_callback(unsigned long data);
--extern struct rtl_global_var global_var;
-+extern struct rtl_global_var rtl_global_var;
- int rtlwifi_rate_mapping(struct ieee80211_hw *hw,
-                        bool isht, u8 desc_rate, bool first_ampdu);
- bool rtl_tx_mgmt_proc(struct ieee80211_hw *hw, struct sk_buff *skb);
---- a/drivers/net/wireless/rtlwifi/core.c
-+++ b/drivers/net/wireless/rtlwifi/core.c
-@@ -1330,3 +1330,4 @@ const struct ieee80211_ops rtl_ops = {
-       .rfkill_poll = rtl_op_rfkill_poll,
-       .flush = rtl_op_flush,
- };
-+EXPORT_SYMBOL_GPL(rtl_ops);
---- a/drivers/net/wireless/rtlwifi/debug.c
-+++ b/drivers/net/wireless/rtlwifi/debug.c
-@@ -51,3 +51,4 @@ void rtl_dbgp_flag_init(struct ieee80211
-       /*Init Debug flag enable condition */
- }
-+EXPORT_SYMBOL_GPL(rtl_dbgp_flag_init);
---- a/drivers/net/wireless/rtlwifi/efuse.c
-+++ b/drivers/net/wireless/rtlwifi/efuse.c
-@@ -229,6 +229,7 @@ void read_efuse_byte(struct ieee80211_hw
-       *pbuf = (u8) (value32 & 0xff);
- }
-+EXPORT_SYMBOL_GPL(read_efuse_byte);
- void read_efuse(struct ieee80211_hw *hw, u16 _offset, u16 _size_byte, u8 *pbuf)
- {
---- a/drivers/net/wireless/rtlwifi/pci.c
-+++ b/drivers/net/wireless/rtlwifi/pci.c
-@@ -35,6 +35,13 @@
- #include "efuse.h"
- #include <linux/export.h>
- #include <linux/kmemleak.h>
-+#include <linux/module.h>
-+
-+MODULE_AUTHOR("lizhaoming     <chaoming_li@realsil.com.cn>");
-+MODULE_AUTHOR("Realtek WlanFAE        <wlanfae@realtek.com>");
-+MODULE_AUTHOR("Larry Finger   <Larry.FInger@lwfinger.net>");
-+MODULE_LICENSE("GPL");
-+MODULE_DESCRIPTION("PCI basic driver for rtlwifi");
- static const u16 pcibridge_vendors[PCI_BRIDGE_VENDOR_MAX] = {
-       PCI_VENDOR_ID_INTEL,
-@@ -1008,19 +1015,6 @@ static void _rtl_pci_prepare_bcn_tasklet
-       return;
- }
--static void rtl_lps_change_work_callback(struct work_struct *work)
--{
--      struct rtl_works *rtlworks =
--          container_of(work, struct rtl_works, lps_change_work);
--      struct ieee80211_hw *hw = rtlworks->hw;
--      struct rtl_priv *rtlpriv = rtl_priv(hw);
--
--      if (rtlpriv->enter_ps)
--              rtl_lps_enter(hw);
--      else
--              rtl_lps_leave(hw);
--}
--
- static void _rtl_pci_init_trx_var(struct ieee80211_hw *hw)
- {
-       struct rtl_pci *rtlpci = rtl_pcidev(rtl_pcipriv(hw));
-@@ -1899,7 +1893,7 @@ int rtl_pci_probe(struct pci_dev *pdev,
-       rtlpriv->rtlhal.interface = INTF_PCI;
-       rtlpriv->cfg = (struct rtl_hal_cfg *)(id->driver_data);
-       rtlpriv->intf_ops = &rtl_pci_ops;
--      rtlpriv->glb_var = &global_var;
-+      rtlpriv->glb_var = &rtl_global_var;
-       /*
-        *init dbgp flags before all
---- a/drivers/net/wireless/rtlwifi/ps.c
-+++ b/drivers/net/wireless/rtlwifi/ps.c
-@@ -269,6 +269,7 @@ void rtl_ips_nic_on(struct ieee80211_hw 
-       spin_unlock_irqrestore(&rtlpriv->locks.ips_lock, flags);
- }
-+EXPORT_SYMBOL_GPL(rtl_ips_nic_on);
- /*for FW LPS*/
-@@ -518,6 +519,7 @@ void rtl_swlps_beacon(struct ieee80211_h
-                        "u_bufferd: %x, m_buffered: %x\n", u_buffed, m_buffed);
-       }
- }
-+EXPORT_SYMBOL_GPL(rtl_swlps_beacon);
- void rtl_swlps_rf_awake(struct ieee80211_hw *hw)
- {
-@@ -611,6 +613,19 @@ void rtl_swlps_rf_sleep(struct ieee80211
-                       MSECS(sleep_intv * mac->vif->bss_conf.beacon_int - 40));
- }
-+void rtl_lps_change_work_callback(struct work_struct *work)
-+{
-+      struct rtl_works *rtlworks =
-+          container_of(work, struct rtl_works, lps_change_work);
-+      struct ieee80211_hw *hw = rtlworks->hw;
-+      struct rtl_priv *rtlpriv = rtl_priv(hw);
-+
-+      if (rtlpriv->enter_ps)
-+              rtl_lps_enter(hw);
-+      else
-+              rtl_lps_leave(hw);
-+}
-+EXPORT_SYMBOL_GPL(rtl_lps_change_work_callback);
- void rtl_swlps_wq_callback(void *data)
- {
-@@ -922,3 +937,4 @@ void rtl_p2p_info(struct ieee80211_hw *h
-       else
-               rtl_p2p_noa_ie(hw, data, len - FCS_LEN);
- }
-+EXPORT_SYMBOL_GPL(rtl_p2p_info);
---- a/drivers/net/wireless/rtlwifi/usb.c
-+++ b/drivers/net/wireless/rtlwifi/usb.c
-@@ -32,6 +32,13 @@
- #include "ps.h"
- #include "rtl8192c/fw_common.h"
- #include <linux/export.h>
-+#include <linux/module.h>
-+
-+MODULE_AUTHOR("lizhaoming     <chaoming_li@realsil.com.cn>");
-+MODULE_AUTHOR("Realtek WlanFAE        <wlanfae@realtek.com>");
-+MODULE_AUTHOR("Larry Finger   <Larry.FInger@lwfinger.net>");
-+MODULE_LICENSE("GPL");
-+MODULE_DESCRIPTION("USB basic driver for rtlwifi");
- #define       REALTEK_USB_VENQT_READ                  0xC0
- #define       REALTEK_USB_VENQT_WRITE                 0x40
-@@ -1070,6 +1077,8 @@ int rtl_usb_probe(struct usb_interface *
-       spin_lock_init(&rtlpriv->locks.usb_lock);
-       INIT_WORK(&rtlpriv->works.fill_h2c_cmd,
-                 rtl_fill_h2c_cmd_work_callback);
-+      INIT_WORK(&rtlpriv->works.lps_change_work,
-+                rtl_lps_change_work_callback);
-       rtlpriv->usb_data_index = 0;
-       init_completion(&rtlpriv->firmware_loading_complete);
---- a/drivers/net/wireless/ath/ath9k/ath9k.h
-+++ b/drivers/net/wireless/ath/ath9k/ath9k.h
-@@ -72,17 +72,12 @@ struct ath_config {
- /*************************/
- #define ATH_TXBUF_RESET(_bf) do {                             \
--              (_bf)->bf_stale = false;                        \
-               (_bf)->bf_lastbf = NULL;                        \
-               (_bf)->bf_next = NULL;                          \
-               memset(&((_bf)->bf_state), 0,                   \
-                      sizeof(struct ath_buf_state));           \
-       } while (0)
--#define ATH_RXBUF_RESET(_bf) do {             \
--              (_bf)->bf_stale = false;        \
--      } while (0)
--
- /**
-  * enum buffer_type - Buffer type flags
-  *
-@@ -137,7 +132,8 @@ int ath_descdma_setup(struct ath_softc *
- #define ATH_AGGR_ENCRYPTDELIM      10
- /* minimum h/w qdepth to be sustained to maximize aggregation */
- #define ATH_AGGR_MIN_QDEPTH        2
--#define ATH_AMPDU_SUBFRAME_DEFAULT 32
-+/* minimum h/w qdepth for non-aggregated traffic */
-+#define ATH_NON_AGGR_MIN_QDEPTH    8
- #define IEEE80211_SEQ_SEQ_SHIFT    4
- #define IEEE80211_SEQ_MAX          4096
-@@ -174,12 +170,6 @@ int ath_descdma_setup(struct ath_softc *
- #define ATH_TX_COMPLETE_POLL_INT      1000
--enum ATH_AGGR_STATUS {
--      ATH_AGGR_DONE,
--      ATH_AGGR_BAW_CLOSED,
--      ATH_AGGR_LIMITED,
--};
--
- #define ATH_TXFIFO_DEPTH 8
- struct ath_txq {
-       int mac80211_qnum; /* mac80211 queue number, -1 means not mac80211 Q */
-@@ -201,10 +191,10 @@ struct ath_txq {
- struct ath_atx_ac {
-       struct ath_txq *txq;
--      int sched;
-       struct list_head list;
-       struct list_head tid_q;
-       bool clear_ps_filter;
-+      bool sched;
- };
- struct ath_frame_info {
-@@ -212,14 +202,16 @@ struct ath_frame_info {
-       int framelen;
-       enum ath9k_key_type keytype;
-       u8 keyix;
--      u8 retries;
-       u8 rtscts_rate;
-+      u8 retries : 7;
-+      u8 baw_tracked : 1;
- };
- struct ath_buf_state {
-       u8 bf_type;
-       u8 bfs_paprd;
-       u8 ndelim;
-+      bool stale;
-       u16 seqno;
-       unsigned long bfs_paprd_timestamp;
- };
-@@ -233,7 +225,6 @@ struct ath_buf {
-       void *bf_desc;                  /* virtual addr of desc */
-       dma_addr_t bf_daddr;            /* physical addr of desc */
-       dma_addr_t bf_buf_addr; /* physical addr of data buffer, for DMA */
--      bool bf_stale;
-       struct ieee80211_tx_rate rates[4];
-       struct ath_buf_state bf_state;
- };
-@@ -241,16 +232,18 @@ struct ath_buf {
- struct ath_atx_tid {
-       struct list_head list;
-       struct sk_buff_head buf_q;
-+      struct sk_buff_head retry_q;
-       struct ath_node *an;
-       struct ath_atx_ac *ac;
-       unsigned long tx_buf[BITS_TO_LONGS(ATH_TID_MAX_BUFS)];
--      int bar_index;
-       u16 seq_start;
-       u16 seq_next;
-       u16 baw_size;
--      int tidno;
-+      u8 tidno;
-       int baw_head;   /* first un-acked tx buffer */
-       int baw_tail;   /* next unused tx buffer slot */
-+
-+      s8 bar_index;
-       bool sched;
-       bool paused;
-       bool active;
-@@ -262,12 +255,13 @@ struct ath_node {
-       struct ieee80211_vif *vif; /* interface with which we're associated */
-       struct ath_atx_tid tid[IEEE80211_NUM_TIDS];
-       struct ath_atx_ac ac[IEEE80211_NUM_ACS];
--      int ps_key;
-       u16 maxampdu;
-       u8 mpdudensity;
-+      s8 ps_key;
-       bool sleeping;
-+      bool no_ps_filter;
- #if defined(CPTCFG_MAC80211_DEBUGFS) && defined(CPTCFG_ATH9K_DEBUGFS)
-       struct dentry *node_stat;
-@@ -317,6 +311,7 @@ struct ath_rx {
-       struct ath_descdma rxdma;
-       struct ath_rx_edma rx_edma[ATH9K_RX_QUEUE_MAX];
-+      struct ath_buf *buf_hold;
-       struct sk_buff *frag;
-       u32 ampdu_ref;
-@@ -367,6 +362,7 @@ void ath9k_release_buffered_frames(struc
- /********/
- struct ath_vif {
-+      struct ath_node mcast_node;
-       int av_bslot;
-       bool primary_sta_vif;
-       __le64 tsf_adjust; /* TSF adjustment for staggered beacons */
-@@ -585,19 +581,14 @@ static inline void ath_fill_led_pin(stru
- #define ATH_ANT_DIV_COMB_MAX_COUNT 100
- #define ATH_ANT_DIV_COMB_ALT_ANT_RATIO 30
- #define ATH_ANT_DIV_COMB_ALT_ANT_RATIO2 20
-+#define ATH_ANT_DIV_COMB_ALT_ANT_RATIO_LOW_RSSI 50
-+#define ATH_ANT_DIV_COMB_ALT_ANT_RATIO2_LOW_RSSI 50
- #define ATH_ANT_DIV_COMB_LNA1_LNA2_SWITCH_DELTA -1
- #define ATH_ANT_DIV_COMB_LNA1_DELTA_HI -4
- #define ATH_ANT_DIV_COMB_LNA1_DELTA_MID -2
- #define ATH_ANT_DIV_COMB_LNA1_DELTA_LOW 2
--enum ath9k_ant_div_comb_lna_conf {
--      ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2,
--      ATH_ANT_DIV_COMB_LNA2,
--      ATH_ANT_DIV_COMB_LNA1,
--      ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2,
--};
--
- struct ath_ant_comb {
-       u16 count;
-       u16 total_pkt_count;
-@@ -614,27 +605,36 @@ struct ath_ant_comb {
-       int rssi_first;
-       int rssi_second;
-       int rssi_third;
-+      int ant_ratio;
-+      int ant_ratio2;
-       bool alt_good;
-       int quick_scan_cnt;
--      int main_conf;
-+      enum ath9k_ant_div_comb_lna_conf main_conf;
-       enum ath9k_ant_div_comb_lna_conf first_quick_scan_conf;
-       enum ath9k_ant_div_comb_lna_conf second_quick_scan_conf;
-       bool first_ratio;
-       bool second_ratio;
-       unsigned long scan_start_time;
-+
-+      /*
-+       * Card-specific config values.
-+       */
-+      int low_rssi_thresh;
-+      int fast_div_bias;
- };
- void ath_ant_comb_scan(struct ath_softc *sc, struct ath_rx_status *rs);
--void ath_ant_comb_update(struct ath_softc *sc);
- /********************/
- /* Main driver core */
- /********************/
--#define ATH9K_PCI_CUS198 0x0001
--#define ATH9K_PCI_CUS230 0x0002
--#define ATH9K_PCI_CUS217 0x0004
--#define ATH9K_PCI_WOW    0x0008
-+#define ATH9K_PCI_CUS198     0x0001
-+#define ATH9K_PCI_CUS230     0x0002
-+#define ATH9K_PCI_CUS217     0x0004
-+#define ATH9K_PCI_WOW        0x0008
-+#define ATH9K_PCI_BT_ANT_DIV 0x0010
-+#define ATH9K_PCI_D3_L1_WAR  0x0020
- /*
-  * Default cache line size, in bytes.
---- a/drivers/net/wireless/ath/ath9k/debug.c
-+++ b/drivers/net/wireless/ath/ath9k/debug.c
-@@ -270,25 +270,29 @@ static const struct file_operations fops
-       .llseek = default_llseek,
- };
--static ssize_t read_file_ant_diversity(struct file *file, char __user *user_buf,
--                                     size_t count, loff_t *ppos)
-+#ifdef CPTCFG_ATH9K_BTCOEX_SUPPORT
-+
-+static ssize_t read_file_bt_ant_diversity(struct file *file,
-+                                        char __user *user_buf,
-+                                        size_t count, loff_t *ppos)
- {
-       struct ath_softc *sc = file->private_data;
-       struct ath_common *common = ath9k_hw_common(sc->sc_ah);
-       char buf[32];
-       unsigned int len;
--      len = sprintf(buf, "%d\n", common->antenna_diversity);
-+      len = sprintf(buf, "%d\n", common->bt_ant_diversity);
-       return simple_read_from_buffer(user_buf, count, ppos, buf, len);
- }
--static ssize_t write_file_ant_diversity(struct file *file,
--                                      const char __user *user_buf,
--                                      size_t count, loff_t *ppos)
-+static ssize_t write_file_bt_ant_diversity(struct file *file,
-+                                         const char __user *user_buf,
-+                                         size_t count, loff_t *ppos)
- {
-       struct ath_softc *sc = file->private_data;
-       struct ath_common *common = ath9k_hw_common(sc->sc_ah);
--      unsigned long antenna_diversity;
-+      struct ath9k_hw_capabilities *pCap = &sc->sc_ah->caps;
-+      unsigned long bt_ant_diversity;
-       char buf[32];
-       ssize_t len;
-@@ -296,26 +300,147 @@ static ssize_t write_file_ant_diversity(
-       if (copy_from_user(buf, user_buf, len))
-               return -EFAULT;
--      if (!AR_SREV_9565(sc->sc_ah))
-+      if (!(pCap->hw_caps & ATH9K_HW_CAP_BT_ANT_DIV))
-               goto exit;
-       buf[len] = '\0';
--      if (strict_strtoul(buf, 0, &antenna_diversity))
-+      if (kstrtoul(buf, 0, &bt_ant_diversity))
-               return -EINVAL;
--      common->antenna_diversity = !!antenna_diversity;
-+      common->bt_ant_diversity = !!bt_ant_diversity;
-       ath9k_ps_wakeup(sc);
--      ath_ant_comb_update(sc);
--      ath_dbg(common, CONFIG, "Antenna diversity: %d\n",
--              common->antenna_diversity);
-+      ath9k_hw_set_bt_ant_diversity(sc->sc_ah, common->bt_ant_diversity);
-+      ath_dbg(common, CONFIG, "Enable WLAN/BT RX Antenna diversity: %d\n",
-+              common->bt_ant_diversity);
-       ath9k_ps_restore(sc);
- exit:
-       return count;
- }
--static const struct file_operations fops_ant_diversity = {
--      .read = read_file_ant_diversity,
--      .write = write_file_ant_diversity,
-+static const struct file_operations fops_bt_ant_diversity = {
-+      .read = read_file_bt_ant_diversity,
-+      .write = write_file_bt_ant_diversity,
-+      .open = simple_open,
-+      .owner = THIS_MODULE,
-+      .llseek = default_llseek,
-+};
-+
-+#endif
-+
-+void ath9k_debug_stat_ant(struct ath_softc *sc,
-+                        struct ath_hw_antcomb_conf *div_ant_conf,
-+                        int main_rssi_avg, int alt_rssi_avg)
-+{
-+      struct ath_antenna_stats *as_main = &sc->debug.stats.ant_stats[ANT_MAIN];
-+      struct ath_antenna_stats *as_alt = &sc->debug.stats.ant_stats[ANT_ALT];
-+
-+      as_main->lna_attempt_cnt[div_ant_conf->main_lna_conf]++;
-+      as_alt->lna_attempt_cnt[div_ant_conf->alt_lna_conf]++;
-+
-+      as_main->rssi_avg = main_rssi_avg;
-+      as_alt->rssi_avg = alt_rssi_avg;
-+}
-+
-+static ssize_t read_file_antenna_diversity(struct file *file,
-+                                         char __user *user_buf,
-+                                         size_t count, loff_t *ppos)
-+{
-+      struct ath_softc *sc = file->private_data;
-+      struct ath_hw *ah = sc->sc_ah;
-+      struct ath9k_hw_capabilities *pCap = &ah->caps;
-+      struct ath_antenna_stats *as_main = &sc->debug.stats.ant_stats[ANT_MAIN];
-+      struct ath_antenna_stats *as_alt = &sc->debug.stats.ant_stats[ANT_ALT];
-+      struct ath_hw_antcomb_conf div_ant_conf;
-+      unsigned int len = 0, size = 1024;
-+      ssize_t retval = 0;
-+      char *buf;
-+      char *lna_conf_str[4] = {"LNA1_MINUS_LNA2",
-+                               "LNA2",
-+                               "LNA1",
-+                               "LNA1_PLUS_LNA2"};
-+
-+      buf = kzalloc(size, GFP_KERNEL);
-+      if (buf == NULL)
-+              return -ENOMEM;
-+
-+      if (!(pCap->hw_caps & ATH9K_HW_CAP_ANT_DIV_COMB)) {
-+              len += snprintf(buf + len, size - len, "%s\n",
-+                              "Antenna Diversity Combining is disabled");
-+              goto exit;
-+      }
-+
-+      ath9k_ps_wakeup(sc);
-+      ath9k_hw_antdiv_comb_conf_get(ah, &div_ant_conf);
-+      len += snprintf(buf + len, size - len, "Current MAIN config : %s\n",
-+                      lna_conf_str[div_ant_conf.main_lna_conf]);
-+      len += snprintf(buf + len, size - len, "Current ALT config  : %s\n",
-+                      lna_conf_str[div_ant_conf.alt_lna_conf]);
-+      len += snprintf(buf + len, size - len, "Average MAIN RSSI   : %d\n",
-+                      as_main->rssi_avg);
-+      len += snprintf(buf + len, size - len, "Average ALT RSSI    : %d\n\n",
-+                      as_alt->rssi_avg);
-+      ath9k_ps_restore(sc);
-+
-+      len += snprintf(buf + len, size - len, "Packet Receive Cnt:\n");
-+      len += snprintf(buf + len, size - len, "-------------------\n");
-+
-+      len += snprintf(buf + len, size - len, "%30s%15s\n",
-+                      "MAIN", "ALT");
-+      len += snprintf(buf + len, size - len, "%-14s:%15d%15d\n",
-+                      "TOTAL COUNT",
-+                      as_main->recv_cnt,
-+                      as_alt->recv_cnt);
-+      len += snprintf(buf + len, size - len, "%-14s:%15d%15d\n",
-+                      "LNA1",
-+                      as_main->lna_recv_cnt[ATH_ANT_DIV_COMB_LNA1],
-+                      as_alt->lna_recv_cnt[ATH_ANT_DIV_COMB_LNA1]);
-+      len += snprintf(buf + len, size - len, "%-14s:%15d%15d\n",
-+                      "LNA2",
-+                      as_main->lna_recv_cnt[ATH_ANT_DIV_COMB_LNA2],
-+                      as_alt->lna_recv_cnt[ATH_ANT_DIV_COMB_LNA2]);
-+      len += snprintf(buf + len, size - len, "%-14s:%15d%15d\n",
-+                      "LNA1 + LNA2",
-+                      as_main->lna_recv_cnt[ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2],
-+                      as_alt->lna_recv_cnt[ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2]);
-+      len += snprintf(buf + len, size - len, "%-14s:%15d%15d\n",
-+                      "LNA1 - LNA2",
-+                      as_main->lna_recv_cnt[ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2],
-+                      as_alt->lna_recv_cnt[ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2]);
-+
-+      len += snprintf(buf + len, size - len, "\nLNA Config Attempts:\n");
-+      len += snprintf(buf + len, size - len, "--------------------\n");
-+
-+      len += snprintf(buf + len, size - len, "%30s%15s\n",
-+                      "MAIN", "ALT");
-+      len += snprintf(buf + len, size - len, "%-14s:%15d%15d\n",
-+                      "LNA1",
-+                      as_main->lna_attempt_cnt[ATH_ANT_DIV_COMB_LNA1],
-+                      as_alt->lna_attempt_cnt[ATH_ANT_DIV_COMB_LNA1]);
-+      len += snprintf(buf + len, size - len, "%-14s:%15d%15d\n",
-+                      "LNA2",
-+                      as_main->lna_attempt_cnt[ATH_ANT_DIV_COMB_LNA2],
-+                      as_alt->lna_attempt_cnt[ATH_ANT_DIV_COMB_LNA2]);
-+      len += snprintf(buf + len, size - len, "%-14s:%15d%15d\n",
-+                      "LNA1 + LNA2",
-+                      as_main->lna_attempt_cnt[ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2],
-+                      as_alt->lna_attempt_cnt[ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2]);
-+      len += snprintf(buf + len, size - len, "%-14s:%15d%15d\n",
-+                      "LNA1 - LNA2",
-+                      as_main->lna_attempt_cnt[ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2],
-+                      as_alt->lna_attempt_cnt[ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2]);
-+
-+exit:
-+      if (len > size)
-+              len = size;
-+
-+      retval = simple_read_from_buffer(user_buf, count, ppos, buf, len);
-+      kfree(buf);
-+
-+      return retval;
-+}
-+
-+static const struct file_operations fops_antenna_diversity = {
-+      .read = read_file_antenna_diversity,
-       .open = simple_open,
-       .owner = THIS_MODULE,
-       .llseek = default_llseek,
-@@ -607,6 +732,28 @@ static ssize_t read_file_xmit(struct fil
-       return retval;
- }
-+static ssize_t print_queue(struct ath_softc *sc, struct ath_txq *txq,
-+                         char *buf, ssize_t size)
-+{
-+      ssize_t len = 0;
-+
-+      ath_txq_lock(sc, txq);
-+
-+      len += snprintf(buf + len, size - len, "%s: %d ",
-+                      "qnum", txq->axq_qnum);
-+      len += snprintf(buf + len, size - len, "%s: %2d ",
-+                      "qdepth", txq->axq_depth);
-+      len += snprintf(buf + len, size - len, "%s: %2d ",
-+                      "ampdu-depth", txq->axq_ampdu_depth);
-+      len += snprintf(buf + len, size - len, "%s: %3d ",
-+                      "pending", txq->pending_frames);
-+      len += snprintf(buf + len, size - len, "%s: %d\n",
-+                      "stopped", txq->stopped);
-+
-+      ath_txq_unlock(sc, txq);
-+      return len;
-+}
-+
- static ssize_t read_file_queues(struct file *file, char __user *user_buf,
-                               size_t count, loff_t *ppos)
- {
-@@ -624,24 +771,13 @@ static ssize_t read_file_queues(struct f
-       for (i = 0; i < IEEE80211_NUM_ACS; i++) {
-               txq = sc->tx.txq_map[i];
--              len += snprintf(buf + len, size - len, "(%s): ", qname[i]);
--
--              ath_txq_lock(sc, txq);
--
--              len += snprintf(buf + len, size - len, "%s: %d ",
--                              "qnum", txq->axq_qnum);
--              len += snprintf(buf + len, size - len, "%s: %2d ",
--                              "qdepth", txq->axq_depth);
--              len += snprintf(buf + len, size - len, "%s: %2d ",
--                              "ampdu-depth", txq->axq_ampdu_depth);
--              len += snprintf(buf + len, size - len, "%s: %3d ",
--                              "pending", txq->pending_frames);
--              len += snprintf(buf + len, size - len, "%s: %d\n",
--                              "stopped", txq->stopped);
--
--              ath_txq_unlock(sc, txq);
-+              len += snprintf(buf + len, size - len, "(%s):  ", qname[i]);
-+              len += print_queue(sc, txq, buf + len, size - len);
-       }
-+      len += snprintf(buf + len, size - len, "(CAB): ");
-+      len += print_queue(sc, sc->beacon.cabq, buf + len, size - len);
-+
-       if (len > size)
-               len = size;
-@@ -1818,9 +1954,11 @@ int ath9k_init_debug(struct ath_hw *ah)
-                          sc->debug.debugfs_phy, &sc->sc_ah->gpio_mask);
-       debugfs_create_u32("gpio_val", S_IRUSR | S_IWUSR,
-                          sc->debug.debugfs_phy, &sc->sc_ah->gpio_val);
--      debugfs_create_file("diversity", S_IRUSR | S_IWUSR,
--                          sc->debug.debugfs_phy, sc, &fops_ant_diversity);
-+      debugfs_create_file("antenna_diversity", S_IRUSR,
-+                          sc->debug.debugfs_phy, sc, &fops_antenna_diversity);
- #ifdef CPTCFG_ATH9K_BTCOEX_SUPPORT
-+      debugfs_create_file("bt_ant_diversity", S_IRUSR | S_IWUSR,
-+                          sc->debug.debugfs_phy, sc, &fops_bt_ant_diversity);
-       debugfs_create_file("btcoex", S_IRUSR, sc->debug.debugfs_phy, sc,
-                           &fops_btcoex);
- #endif
---- a/net/mac80211/ibss.c
-+++ b/net/mac80211/ibss.c
-@@ -30,13 +30,14 @@
- #define IEEE80211_IBSS_MERGE_INTERVAL (30 * HZ)
- #define IEEE80211_IBSS_INACTIVITY_LIMIT (60 * HZ)
-+#define IEEE80211_IBSS_RSN_INACTIVITY_LIMIT (10 * HZ)
- #define IEEE80211_IBSS_MAX_STA_ENTRIES 128
- static void __ieee80211_sta_join_ibss(struct ieee80211_sub_if_data *sdata,
-                                     const u8 *bssid, const int beacon_int,
--                                    struct ieee80211_channel *chan,
-+                                    struct cfg80211_chan_def *req_chandef,
-                                     const u32 basic_rates,
-                                     const u16 capability, u64 tsf,
-                                     bool creator)
-@@ -51,6 +52,7 @@ static void __ieee80211_sta_join_ibss(st
-       u32 bss_change;
-       u8 supp_rates[IEEE80211_MAX_SUPP_RATES];
-       struct cfg80211_chan_def chandef;
-+      struct ieee80211_channel *chan;
-       struct beacon_data *presp;
-       int frame_len;
-@@ -81,7 +83,9 @@ static void __ieee80211_sta_join_ibss(st
-       sdata->drop_unencrypted = capability & WLAN_CAPABILITY_PRIVACY ? 1 : 0;
--      chandef = ifibss->chandef;
-+      /* make a copy of the chandef, it could be modified below. */
-+      chandef = *req_chandef;
-+      chan = chandef.chan;
-       if (!cfg80211_reg_can_beacon(local->hw.wiphy, &chandef)) {
-               chandef.width = NL80211_CHAN_WIDTH_20;
-               chandef.center_freq1 = chan->center_freq;
-@@ -259,10 +263,12 @@ static void ieee80211_sta_join_ibss(stru
-       struct cfg80211_bss *cbss =
-               container_of((void *)bss, struct cfg80211_bss, priv);
-       struct ieee80211_supported_band *sband;
-+      struct cfg80211_chan_def chandef;
-       u32 basic_rates;
-       int i, j;
-       u16 beacon_int = cbss->beacon_interval;
-       const struct cfg80211_bss_ies *ies;
-+      enum nl80211_channel_type chan_type;
-       u64 tsf;
-       sdata_assert_lock(sdata);
-@@ -270,6 +276,26 @@ static void ieee80211_sta_join_ibss(stru
-       if (beacon_int < 10)
-               beacon_int = 10;
-+      switch (sdata->u.ibss.chandef.width) {
-+      case NL80211_CHAN_WIDTH_20_NOHT:
-+      case NL80211_CHAN_WIDTH_20:
-+      case NL80211_CHAN_WIDTH_40:
-+              chan_type = cfg80211_get_chandef_type(&sdata->u.ibss.chandef);
-+              cfg80211_chandef_create(&chandef, cbss->channel, chan_type);
-+              break;
-+      case NL80211_CHAN_WIDTH_5:
-+      case NL80211_CHAN_WIDTH_10:
-+              cfg80211_chandef_create(&chandef, cbss->channel,
-+                                      NL80211_CHAN_WIDTH_20_NOHT);
-+              chandef.width = sdata->u.ibss.chandef.width;
-+              break;
-+      default:
-+              /* fall back to 20 MHz for unsupported modes */
-+              cfg80211_chandef_create(&chandef, cbss->channel,
-+                                      NL80211_CHAN_WIDTH_20_NOHT);
-+              break;
-+      }
-+
-       sband = sdata->local->hw.wiphy->bands[cbss->channel->band];
-       basic_rates = 0;
-@@ -294,7 +320,7 @@ static void ieee80211_sta_join_ibss(stru
-       __ieee80211_sta_join_ibss(sdata, cbss->bssid,
-                                 beacon_int,
--                                cbss->channel,
-+                                &chandef,
-                                 basic_rates,
-                                 cbss->capability,
-                                 tsf, false);
-@@ -672,6 +698,33 @@ static int ieee80211_sta_active_ibss(str
-       return active;
- }
-+static void ieee80211_ibss_sta_expire(struct ieee80211_sub_if_data *sdata)
-+{
-+      struct ieee80211_local *local = sdata->local;
-+      struct sta_info *sta, *tmp;
-+      unsigned long exp_time = IEEE80211_IBSS_INACTIVITY_LIMIT;
-+      unsigned long exp_rsn_time = IEEE80211_IBSS_RSN_INACTIVITY_LIMIT;
-+
-+      mutex_lock(&local->sta_mtx);
-+
-+      list_for_each_entry_safe(sta, tmp, &local->sta_list, list) {
-+              if (sdata != sta->sdata)
-+                      continue;
-+
-+              if (time_after(jiffies, sta->last_rx + exp_time) ||
-+                  (time_after(jiffies, sta->last_rx + exp_rsn_time) &&
-+                   sta->sta_state != IEEE80211_STA_AUTHORIZED)) {
-+                      sta_dbg(sta->sdata, "expiring inactive %sSTA %pM\n",
-+                              sta->sta_state != IEEE80211_STA_AUTHORIZED ?
-+                              "not authorized " : "", sta->sta.addr);
-+
-+                      WARN_ON(__sta_info_destroy(sta));
-+              }
-+      }
-+
-+      mutex_unlock(&local->sta_mtx);
-+}
-+
- /*
-  * This function is called with state == IEEE80211_IBSS_MLME_JOINED
-  */
-@@ -685,7 +738,7 @@ static void ieee80211_sta_merge_ibss(str
-       mod_timer(&ifibss->timer,
-                 round_jiffies(jiffies + IEEE80211_IBSS_MERGE_INTERVAL));
--      ieee80211_sta_expire(sdata, IEEE80211_IBSS_INACTIVITY_LIMIT);
-+      ieee80211_ibss_sta_expire(sdata);
-       if (time_before(jiffies, ifibss->last_scan_completed +
-                      IEEE80211_IBSS_MERGE_INTERVAL))
-@@ -736,7 +789,7 @@ static void ieee80211_sta_create_ibss(st
-               sdata->drop_unencrypted = 0;
-       __ieee80211_sta_join_ibss(sdata, bssid, sdata->vif.bss_conf.beacon_int,
--                                ifibss->chandef.chan, ifibss->basic_rates,
-+                                &ifibss->chandef, ifibss->basic_rates,
-                                 capability, 0, true);
- }
-@@ -792,6 +845,17 @@ static void ieee80211_sta_find_ibss(stru
-               return;
-       }
-+      /* if a fixed bssid and a fixed freq have been provided create the IBSS
-+       * directly and do not waste time scanning
-+       */
-+      if (ifibss->fixed_bssid && ifibss->fixed_channel) {
-+              sdata_info(sdata, "Created IBSS using preconfigured BSSID %pM\n",
-+                         bssid);
-+              ieee80211_sta_create_ibss(sdata);
-+              return;
-+      }
-+
-+
-       ibss_dbg(sdata, "sta_find_ibss: did not try to join ibss\n");
-       /* Selected IBSS not found in current scan results - try to scan */
-@@ -1138,6 +1202,7 @@ int ieee80211_ibss_leave(struct ieee8021
-       clear_bit(SDATA_STATE_OFFCHANNEL_BEACON_STOPPED, &sdata->state);
-       ieee80211_bss_info_change_notify(sdata, BSS_CHANGED_BEACON_ENABLED |
-                                               BSS_CHANGED_IBSS);
-+      ieee80211_vif_release_channel(sdata);
-       synchronize_rcu();
-       kfree(presp);
---- a/drivers/net/wireless/ath/ath9k/ar9003_phy.c
-+++ b/drivers/net/wireless/ath/ath9k/ar9003_phy.c
-@@ -632,6 +632,22 @@ static void ar9003_hw_override_ini(struc
-       REG_SET_BIT(ah, AR_PHY_CCK_DETECT,
-                   AR_PHY_CCK_DETECT_BB_ENABLE_ANT_FAST_DIV);
-+
-+      if (AR_SREV_9462(ah) || AR_SREV_9565(ah)) {
-+              REG_WRITE(ah, AR_GLB_SWREG_DISCONT_MODE,
-+                        AR_GLB_SWREG_DISCONT_EN_BT_WLAN);
-+
-+              if (REG_READ_FIELD(ah, AR_PHY_TX_IQCAL_CONTROL_0,
-+                                 AR_PHY_TX_IQCAL_CONTROL_0_ENABLE_TXIQ_CAL))
-+                      ah->enabled_cals |= TX_IQ_CAL;
-+              else
-+                      ah->enabled_cals &= ~TX_IQ_CAL;
-+
-+              if (REG_READ(ah, AR_PHY_CL_CAL_CTL) & AR_PHY_CL_CAL_ENABLE)
-+                      ah->enabled_cals |= TX_CL_CAL;
-+              else
-+                      ah->enabled_cals &= ~TX_CL_CAL;
-+      }
- }
- static void ar9003_hw_prog_ini(struct ath_hw *ah,
-@@ -814,29 +830,12 @@ static int ar9003_hw_process_ini(struct 
-       if (chan->channel == 2484)
-               ar9003_hw_prog_ini(ah, &ah->iniCckfirJapan2484, 1);
--      if (AR_SREV_9462(ah) || AR_SREV_9565(ah))
--              REG_WRITE(ah, AR_GLB_SWREG_DISCONT_MODE,
--                        AR_GLB_SWREG_DISCONT_EN_BT_WLAN);
--
-       ah->modes_index = modesIndex;
-       ar9003_hw_override_ini(ah);
-       ar9003_hw_set_channel_regs(ah, chan);
-       ar9003_hw_set_chain_masks(ah, ah->rxchainmask, ah->txchainmask);
-       ath9k_hw_apply_txpower(ah, chan, false);
--      if (AR_SREV_9462(ah) || AR_SREV_9565(ah)) {
--              if (REG_READ_FIELD(ah, AR_PHY_TX_IQCAL_CONTROL_0,
--                                 AR_PHY_TX_IQCAL_CONTROL_0_ENABLE_TXIQ_CAL))
--                      ah->enabled_cals |= TX_IQ_CAL;
--              else
--                      ah->enabled_cals &= ~TX_IQ_CAL;
--
--              if (REG_READ(ah, AR_PHY_CL_CAL_CTL) & AR_PHY_CL_CAL_ENABLE)
--                      ah->enabled_cals |= TX_CL_CAL;
--              else
--                      ah->enabled_cals &= ~TX_CL_CAL;
--      }
--
-       return 0;
- }
-@@ -1173,6 +1172,10 @@ skip_ws_det:
-                * is_on == 0 means MRC CCK is OFF (more noise imm)
-                */
-               bool is_on = param ? 1 : 0;
-+
-+              if (ah->caps.rx_chainmask == 1)
-+                      break;
-+
-               REG_RMW_FIELD(ah, AR_PHY_MRC_CCK_CTRL,
-                             AR_PHY_MRC_CCK_ENABLE, is_on);
-               REG_RMW_FIELD(ah, AR_PHY_MRC_CCK_CTRL,
-@@ -1413,65 +1416,111 @@ static void ar9003_hw_antdiv_comb_conf_s
-       REG_WRITE(ah, AR_PHY_MC_GAIN_CTRL, regval);
- }
--static void ar9003_hw_antctrl_shared_chain_lnadiv(struct ath_hw *ah,
--                                                bool enable)
-+#ifdef CPTCFG_ATH9K_BTCOEX_SUPPORT
-+
-+static void ar9003_hw_set_bt_ant_diversity(struct ath_hw *ah, bool enable)
- {
-+      struct ath9k_hw_capabilities *pCap = &ah->caps;
-       u8 ant_div_ctl1;
-       u32 regval;
--      if (!AR_SREV_9565(ah))
-+      if (!AR_SREV_9485(ah) && !AR_SREV_9565(ah))
-               return;
--      ah->shared_chain_lnadiv = enable;
-+      if (AR_SREV_9485(ah)) {
-+              regval = ar9003_hw_ant_ctrl_common_2_get(ah,
-+                                               IS_CHAN_2GHZ(ah->curchan));
-+              if (enable) {
-+                      regval &= ~AR_SWITCH_TABLE_COM2_ALL;
-+                      regval |= ah->config.ant_ctrl_comm2g_switch_enable;
-+              }
-+              REG_RMW_FIELD(ah, AR_PHY_SWITCH_COM_2,
-+                            AR_SWITCH_TABLE_COM2_ALL, regval);
-+      }
-+
-       ant_div_ctl1 = ah->eep_ops->get_eeprom(ah, EEP_ANT_DIV_CTL1);
-+      /*
-+       * Set MAIN/ALT LNA conf.
-+       * Set MAIN/ALT gain_tb.
-+       */
-       regval = REG_READ(ah, AR_PHY_MC_GAIN_CTRL);
-       regval &= (~AR_ANT_DIV_CTRL_ALL);
-       regval |= (ant_div_ctl1 & 0x3f) << AR_ANT_DIV_CTRL_ALL_S;
--      regval &= ~AR_PHY_ANT_DIV_LNADIV;
--      regval |= ((ant_div_ctl1 >> 6) & 0x1) << AR_PHY_ANT_DIV_LNADIV_S;
--
--      if (enable)
--              regval |= AR_ANT_DIV_ENABLE;
--
-       REG_WRITE(ah, AR_PHY_MC_GAIN_CTRL, regval);
--      regval = REG_READ(ah, AR_PHY_CCK_DETECT);
--      regval &= ~AR_FAST_DIV_ENABLE;
--      regval |= ((ant_div_ctl1 >> 7) & 0x1) << AR_FAST_DIV_ENABLE_S;
--
--      if (enable)
--              regval |= AR_FAST_DIV_ENABLE;
--
--      REG_WRITE(ah, AR_PHY_CCK_DETECT, regval);
--
--      if (enable) {
--              REG_SET_BIT(ah, AR_PHY_MC_GAIN_CTRL,
--                          (1 << AR_PHY_ANT_SW_RX_PROT_S));
--              if (ah->curchan && IS_CHAN_2GHZ(ah->curchan))
--                      REG_SET_BIT(ah, AR_PHY_RESTART,
--                                  AR_PHY_RESTART_ENABLE_DIV_M2FLAG);
--              REG_SET_BIT(ah, AR_BTCOEX_WL_LNADIV,
--                          AR_BTCOEX_WL_LNADIV_FORCE_ON);
--      } else {
--              REG_CLR_BIT(ah, AR_PHY_MC_GAIN_CTRL, AR_ANT_DIV_ENABLE);
--              REG_CLR_BIT(ah, AR_PHY_MC_GAIN_CTRL,
--                          (1 << AR_PHY_ANT_SW_RX_PROT_S));
--              REG_CLR_BIT(ah, AR_PHY_CCK_DETECT, AR_FAST_DIV_ENABLE);
--              REG_CLR_BIT(ah, AR_BTCOEX_WL_LNADIV,
--                          AR_BTCOEX_WL_LNADIV_FORCE_ON);
--
-+      if (AR_SREV_9485_11_OR_LATER(ah)) {
-+              /*
-+               * Enable LNA diversity.
-+               */
-               regval = REG_READ(ah, AR_PHY_MC_GAIN_CTRL);
--              regval &= ~(AR_PHY_ANT_DIV_MAIN_LNACONF |
--                      AR_PHY_ANT_DIV_ALT_LNACONF |
--                      AR_PHY_ANT_DIV_MAIN_GAINTB |
--                      AR_PHY_ANT_DIV_ALT_GAINTB);
--              regval |= (AR_PHY_ANT_DIV_LNA1 << AR_PHY_ANT_DIV_MAIN_LNACONF_S);
--              regval |= (AR_PHY_ANT_DIV_LNA2 << AR_PHY_ANT_DIV_ALT_LNACONF_S);
-+              regval &= ~AR_PHY_ANT_DIV_LNADIV;
-+              regval |= ((ant_div_ctl1 >> 6) & 0x1) << AR_PHY_ANT_DIV_LNADIV_S;
-+              if (enable)
-+                      regval |= AR_ANT_DIV_ENABLE;
-+
-               REG_WRITE(ah, AR_PHY_MC_GAIN_CTRL, regval);
-+
-+              /*
-+               * Enable fast antenna diversity.
-+               */
-+              regval = REG_READ(ah, AR_PHY_CCK_DETECT);
-+              regval &= ~AR_FAST_DIV_ENABLE;
-+              regval |= ((ant_div_ctl1 >> 7) & 0x1) << AR_FAST_DIV_ENABLE_S;
-+              if (enable)
-+                      regval |= AR_FAST_DIV_ENABLE;
-+
-+              REG_WRITE(ah, AR_PHY_CCK_DETECT, regval);
-+
-+              if (pCap->hw_caps & ATH9K_HW_CAP_ANT_DIV_COMB) {
-+                      regval = REG_READ(ah, AR_PHY_MC_GAIN_CTRL);
-+                      regval &= (~(AR_PHY_ANT_DIV_MAIN_LNACONF |
-+                                   AR_PHY_ANT_DIV_ALT_LNACONF |
-+                                   AR_PHY_ANT_DIV_ALT_GAINTB |
-+                                   AR_PHY_ANT_DIV_MAIN_GAINTB));
-+                      /*
-+                       * Set MAIN to LNA1 and ALT to LNA2 at the
-+                       * beginning.
-+                       */
-+                      regval |= (ATH_ANT_DIV_COMB_LNA1 <<
-+                                 AR_PHY_ANT_DIV_MAIN_LNACONF_S);
-+                      regval |= (ATH_ANT_DIV_COMB_LNA2 <<
-+                                 AR_PHY_ANT_DIV_ALT_LNACONF_S);
-+                      REG_WRITE(ah, AR_PHY_MC_GAIN_CTRL, regval);
-+              }
-+      } else if (AR_SREV_9565(ah)) {
-+              if (enable) {
-+                      REG_SET_BIT(ah, AR_PHY_MC_GAIN_CTRL,
-+                                  (1 << AR_PHY_ANT_SW_RX_PROT_S));
-+                      if (ah->curchan && IS_CHAN_2GHZ(ah->curchan))
-+                              REG_SET_BIT(ah, AR_PHY_RESTART,
-+                                          AR_PHY_RESTART_ENABLE_DIV_M2FLAG);
-+                      REG_SET_BIT(ah, AR_BTCOEX_WL_LNADIV,
-+                                  AR_BTCOEX_WL_LNADIV_FORCE_ON);
-+              } else {
-+                      REG_CLR_BIT(ah, AR_PHY_MC_GAIN_CTRL, AR_ANT_DIV_ENABLE);
-+                      REG_CLR_BIT(ah, AR_PHY_MC_GAIN_CTRL,
-+                                  (1 << AR_PHY_ANT_SW_RX_PROT_S));
-+                      REG_CLR_BIT(ah, AR_PHY_CCK_DETECT, AR_FAST_DIV_ENABLE);
-+                      REG_CLR_BIT(ah, AR_BTCOEX_WL_LNADIV,
-+                                  AR_BTCOEX_WL_LNADIV_FORCE_ON);
-+
-+                      regval = REG_READ(ah, AR_PHY_MC_GAIN_CTRL);
-+                      regval &= ~(AR_PHY_ANT_DIV_MAIN_LNACONF |
-+                                  AR_PHY_ANT_DIV_ALT_LNACONF |
-+                                  AR_PHY_ANT_DIV_MAIN_GAINTB |
-+                                  AR_PHY_ANT_DIV_ALT_GAINTB);
-+                      regval |= (ATH_ANT_DIV_COMB_LNA1 <<
-+                                 AR_PHY_ANT_DIV_MAIN_LNACONF_S);
-+                      regval |= (ATH_ANT_DIV_COMB_LNA2 <<
-+                                 AR_PHY_ANT_DIV_ALT_LNACONF_S);
-+                      REG_WRITE(ah, AR_PHY_MC_GAIN_CTRL, regval);
-+              }
-       }
- }
-+#endif
-+
- static int ar9003_hw_fast_chan_change(struct ath_hw *ah,
-                                     struct ath9k_channel *chan,
-                                     u8 *ini_reloaded)
-@@ -1518,6 +1567,18 @@ static int ar9003_hw_fast_chan_change(st
-       REG_WRITE_ARRAY(&ah->iniModesTxGain, modesIndex, regWrites);
-+      if (AR_SREV_9462_20_OR_LATER(ah)) {
-+              /*
-+               * CUS217 mix LNA mode.
-+               */
-+              if (ar9003_hw_get_rx_gain_idx(ah) == 2) {
-+                      REG_WRITE_ARRAY(&ah->ini_modes_rxgain_bb_core,
-+                                      1, regWrites);
-+                      REG_WRITE_ARRAY(&ah->ini_modes_rxgain_bb_postamble,
-+                                      modesIndex, regWrites);
-+              }
-+      }
-+
-       /*
-        * For 5GHz channels requiring Fast Clock, apply
-        * different modal values.
-@@ -1528,7 +1589,11 @@ static int ar9003_hw_fast_chan_change(st
-       if (AR_SREV_9565(ah))
-               REG_WRITE_ARRAY(&ah->iniModesFastClock, 1, regWrites);
--      REG_WRITE_ARRAY(&ah->iniAdditional, 1, regWrites);
-+      /*
-+       * JAPAN regulatory.
-+       */
-+      if (chan->channel == 2484)
-+              ar9003_hw_prog_ini(ah, &ah->iniCckfirJapan2484, 1);
-       ah->modes_index = modesIndex;
-       *ini_reloaded = true;
-@@ -1631,11 +1696,14 @@ void ar9003_hw_attach_phy_ops(struct ath
-       ops->antdiv_comb_conf_get = ar9003_hw_antdiv_comb_conf_get;
-       ops->antdiv_comb_conf_set = ar9003_hw_antdiv_comb_conf_set;
--      ops->antctrl_shared_chain_lnadiv = ar9003_hw_antctrl_shared_chain_lnadiv;
-       ops->spectral_scan_config = ar9003_hw_spectral_scan_config;
-       ops->spectral_scan_trigger = ar9003_hw_spectral_scan_trigger;
-       ops->spectral_scan_wait = ar9003_hw_spectral_scan_wait;
-+#ifdef CPTCFG_ATH9K_BTCOEX_SUPPORT
-+      ops->set_bt_ant_diversity = ar9003_hw_set_bt_ant_diversity;
-+#endif
-+
-       ar9003_hw_set_nf_limits(ah);
-       ar9003_hw_set_radar_conf(ah);
-       memcpy(ah->nf_regs, ar9300_cca_regs, sizeof(ah->nf_regs));
---- a/drivers/net/wireless/ath/ath9k/recv.c
-+++ b/drivers/net/wireless/ath/ath9k/recv.c
-@@ -42,8 +42,6 @@ static void ath_rx_buf_link(struct ath_s
-       struct ath_desc *ds;
-       struct sk_buff *skb;
--      ATH_RXBUF_RESET(bf);
--
-       ds = bf->bf_desc;
-       ds->ds_link = 0; /* link to null */
-       ds->ds_data = bf->bf_buf_addr;
-@@ -70,6 +68,14 @@ static void ath_rx_buf_link(struct ath_s
-       sc->rx.rxlink = &ds->ds_link;
- }
-+static void ath_rx_buf_relink(struct ath_softc *sc, struct ath_buf *bf)
-+{
-+      if (sc->rx.buf_hold)
-+              ath_rx_buf_link(sc, sc->rx.buf_hold);
-+
-+      sc->rx.buf_hold = bf;
-+}
-+
- static void ath_setdefantenna(struct ath_softc *sc, u32 antenna)
- {
-       /* XXX block beacon interrupts */
-@@ -117,7 +123,6 @@ static bool ath_rx_edma_buf_link(struct 
-       skb = bf->bf_mpdu;
--      ATH_RXBUF_RESET(bf);
-       memset(skb->data, 0, ah->caps.rx_status_len);
-       dma_sync_single_for_device(sc->dev, bf->bf_buf_addr,
-                               ah->caps.rx_status_len, DMA_TO_DEVICE);
-@@ -185,7 +190,7 @@ static void ath_rx_edma_cleanup(struct a
- static void ath_rx_edma_init_queue(struct ath_rx_edma *rx_edma, int size)
- {
--      skb_queue_head_init(&rx_edma->rx_fifo);
-+      __skb_queue_head_init(&rx_edma->rx_fifo);
-       rx_edma->rx_fifo_hwsize = size;
- }
-@@ -432,6 +437,7 @@ int ath_startrecv(struct ath_softc *sc)
-       if (list_empty(&sc->rx.rxbuf))
-               goto start_recv;
-+      sc->rx.buf_hold = NULL;
-       sc->rx.rxlink = NULL;
-       list_for_each_entry_safe(bf, tbf, &sc->rx.rxbuf, list) {
-               ath_rx_buf_link(sc, bf);
-@@ -677,6 +683,9 @@ static struct ath_buf *ath_get_next_rx_b
-       }
-       bf = list_first_entry(&sc->rx.rxbuf, struct ath_buf, list);
-+      if (bf == sc->rx.buf_hold)
-+              return NULL;
-+
-       ds = bf->bf_desc;
-       /*
-@@ -755,7 +764,6 @@ static bool ath9k_rx_accept(struct ath_c
-       bool is_mc, is_valid_tkip, strip_mic, mic_error;
-       struct ath_hw *ah = common->ah;
-       __le16 fc;
--      u8 rx_status_len = ah->caps.rx_status_len;
-       fc = hdr->frame_control;
-@@ -777,25 +785,6 @@ static bool ath9k_rx_accept(struct ath_c
-           !test_bit(rx_stats->rs_keyix, common->ccmp_keymap))
-               rx_stats->rs_status &= ~ATH9K_RXERR_KEYMISS;
--      if (!rx_stats->rs_datalen) {
--              RX_STAT_INC(rx_len_err);
--              return false;
--      }
--
--        /*
--         * rs_status follows rs_datalen so if rs_datalen is too large
--         * we can take a hint that hardware corrupted it, so ignore
--         * those frames.
--         */
--      if (rx_stats->rs_datalen > (common->rx_bufsize - rx_status_len)) {
--              RX_STAT_INC(rx_len_err);
--              return false;
--      }
--
--      /* Only use error bits from the last fragment */
--      if (rx_stats->rs_more)
--              return true;
--
-       mic_error = is_valid_tkip && !ieee80211_is_ctl(fc) &&
-               !ieee80211_has_morefrags(fc) &&
-               !(le16_to_cpu(hdr->seq_ctrl) & IEEE80211_SCTL_FRAG) &&
-@@ -814,8 +803,6 @@ static bool ath9k_rx_accept(struct ath_c
-                       rxs->flag |= RX_FLAG_FAILED_FCS_CRC;
-                       mic_error = false;
-               }
--              if (rx_stats->rs_status & ATH9K_RXERR_PHY)
--                      return false;
-               if ((rx_stats->rs_status & ATH9K_RXERR_DECRYPT) ||
-                   (!is_mc && (rx_stats->rs_status & ATH9K_RXERR_KEYMISS))) {
-@@ -898,129 +885,65 @@ static int ath9k_process_rate(struct ath
- static void ath9k_process_rssi(struct ath_common *common,
-                              struct ieee80211_hw *hw,
--                             struct ieee80211_hdr *hdr,
--                             struct ath_rx_status *rx_stats)
-+                             struct ath_rx_status *rx_stats,
-+                             struct ieee80211_rx_status *rxs)
- {
-       struct ath_softc *sc = hw->priv;
-       struct ath_hw *ah = common->ah;
-       int last_rssi;
-       int rssi = rx_stats->rs_rssi;
--      if (!rx_stats->is_mybeacon ||
--          ((ah->opmode != NL80211_IFTYPE_STATION) &&
--           (ah->opmode != NL80211_IFTYPE_ADHOC)))
-+      /*
-+       * RSSI is not available for subframes in an A-MPDU.
-+       */
-+      if (rx_stats->rs_moreaggr) {
-+              rxs->flag |= RX_FLAG_NO_SIGNAL_VAL;
-               return;
--
--      if (rx_stats->rs_rssi != ATH9K_RSSI_BAD && !rx_stats->rs_moreaggr)
--              ATH_RSSI_LPF(sc->last_rssi, rx_stats->rs_rssi);
--
--      last_rssi = sc->last_rssi;
--      if (likely(last_rssi != ATH_RSSI_DUMMY_MARKER))
--              rssi = ATH_EP_RND(last_rssi, ATH_RSSI_EP_MULTIPLIER);
--      if (rssi < 0)
--              rssi = 0;
--
--      /* Update Beacon RSSI, this is used by ANI. */
--      ah->stats.avgbrssi = rssi;
--}
--
--/*
-- * For Decrypt or Demic errors, we only mark packet status here and always push
-- * up the frame up to let mac80211 handle the actual error case, be it no
-- * decryption key or real decryption error. This let us keep statistics there.
-- */
--static int ath9k_rx_skb_preprocess(struct ath_softc *sc,
--                                 struct ieee80211_hdr *hdr,
--                                 struct ath_rx_status *rx_stats,
--                                 struct ieee80211_rx_status *rx_status,
--                                 bool *decrypt_error)
--{
--      struct ieee80211_hw *hw = sc->hw;
--      struct ath_hw *ah = sc->sc_ah;
--      struct ath_common *common = ath9k_hw_common(ah);
--      bool discard_current = sc->rx.discard_next;
--
--      sc->rx.discard_next = rx_stats->rs_more;
--      if (discard_current)
--              return -EINVAL;
-+      }
-       /*
--       * everything but the rate is checked here, the rate check is done
--       * separately to avoid doing two lookups for a rate for each frame.
-+       * Check if the RSSI for the last subframe in an A-MPDU
-+       * or an unaggregated frame is valid.
-        */
--      if (!ath9k_rx_accept(common, hdr, rx_status, rx_stats, decrypt_error))
--              return -EINVAL;
--
--      /* Only use status info from the last fragment */
--      if (rx_stats->rs_more)
--              return 0;
-+      if (rx_stats->rs_rssi == ATH9K_RSSI_BAD) {
-+              rxs->flag |= RX_FLAG_NO_SIGNAL_VAL;
-+              return;
-+      }
--      if (ath9k_process_rate(common, hw, rx_stats, rx_status))
--              return -EINVAL;
-+      /*
-+       * Update Beacon RSSI, this is used by ANI.
-+       */
-+      if (rx_stats->is_mybeacon &&
-+          ((ah->opmode == NL80211_IFTYPE_STATION) ||
-+           (ah->opmode == NL80211_IFTYPE_ADHOC))) {
-+              ATH_RSSI_LPF(sc->last_rssi, rx_stats->rs_rssi);
-+              last_rssi = sc->last_rssi;
--      ath9k_process_rssi(common, hw, hdr, rx_stats);
-+              if (likely(last_rssi != ATH_RSSI_DUMMY_MARKER))
-+                      rssi = ATH_EP_RND(last_rssi, ATH_RSSI_EP_MULTIPLIER);
-+              if (rssi < 0)
-+                      rssi = 0;
--      rx_status->band = hw->conf.chandef.chan->band;
--      rx_status->freq = hw->conf.chandef.chan->center_freq;
--      rx_status->signal = ah->noise + rx_stats->rs_rssi;
--      rx_status->antenna = rx_stats->rs_antenna;
--      rx_status->flag |= RX_FLAG_MACTIME_END;
--      if (rx_stats->rs_moreaggr)
--              rx_status->flag |= RX_FLAG_NO_SIGNAL_VAL;
-+              ah->stats.avgbrssi = rssi;
-+      }
--      sc->rx.discard_next = false;
--      return 0;
-+      rxs->signal = ah->noise + rx_stats->rs_rssi;
- }
--static void ath9k_rx_skb_postprocess(struct ath_common *common,
--                                   struct sk_buff *skb,
--                                   struct ath_rx_status *rx_stats,
--                                   struct ieee80211_rx_status *rxs,
--                                   bool decrypt_error)
-+static void ath9k_process_tsf(struct ath_rx_status *rs,
-+                            struct ieee80211_rx_status *rxs,
-+                            u64 tsf)
- {
--      struct ath_hw *ah = common->ah;
--      struct ieee80211_hdr *hdr;
--      int hdrlen, padpos, padsize;
--      u8 keyix;
--      __le16 fc;
-+      u32 tsf_lower = tsf & 0xffffffff;
--      /* see if any padding is done by the hw and remove it */
--      hdr = (struct ieee80211_hdr *) skb->data;
--      hdrlen = ieee80211_get_hdrlen_from_skb(skb);
--      fc = hdr->frame_control;
--      padpos = ieee80211_hdrlen(fc);
-+      rxs->mactime = (tsf & ~0xffffffffULL) | rs->rs_tstamp;
-+      if (rs->rs_tstamp > tsf_lower &&
-+          unlikely(rs->rs_tstamp - tsf_lower > 0x10000000))
-+              rxs->mactime -= 0x100000000ULL;
--      /* The MAC header is padded to have 32-bit boundary if the
--       * packet payload is non-zero. The general calculation for
--       * padsize would take into account odd header lengths:
--       * padsize = (4 - padpos % 4) % 4; However, since only
--       * even-length headers are used, padding can only be 0 or 2
--       * bytes and we can optimize this a bit. In addition, we must
--       * not try to remove padding from short control frames that do
--       * not have payload. */
--      padsize = padpos & 3;
--      if (padsize && skb->len>=padpos+padsize+FCS_LEN) {
--              memmove(skb->data + padsize, skb->data, padpos);
--              skb_pull(skb, padsize);
--      }
--
--      keyix = rx_stats->rs_keyix;
--
--      if (!(keyix == ATH9K_RXKEYIX_INVALID) && !decrypt_error &&
--          ieee80211_has_protected(fc)) {
--              rxs->flag |= RX_FLAG_DECRYPTED;
--      } else if (ieee80211_has_protected(fc)
--                 && !decrypt_error && skb->len >= hdrlen + 4) {
--              keyix = skb->data[hdrlen + 3] >> 6;
--
--              if (test_bit(keyix, common->keymap))
--                      rxs->flag |= RX_FLAG_DECRYPTED;
--      }
--      if (ah->sw_mgmt_crypto &&
--          (rxs->flag & RX_FLAG_DECRYPTED) &&
--          ieee80211_is_mgmt(fc))
--              /* Use software decrypt for management frames. */
--              rxs->flag &= ~RX_FLAG_DECRYPTED;
-+      if (rs->rs_tstamp < tsf_lower &&
-+          unlikely(tsf_lower - rs->rs_tstamp > 0x10000000))
-+              rxs->mactime += 0x100000000ULL;
- }
- #ifdef CPTCFG_ATH9K_DEBUGFS
-@@ -1133,6 +1056,234 @@ static int ath_process_fft(struct ath_so
- #endif
- }
-+static bool ath9k_is_mybeacon(struct ath_softc *sc, struct ieee80211_hdr *hdr)
-+{
-+      struct ath_hw *ah = sc->sc_ah;
-+      struct ath_common *common = ath9k_hw_common(ah);
-+
-+      if (ieee80211_is_beacon(hdr->frame_control)) {
-+              RX_STAT_INC(rx_beacons);
-+              if (!is_zero_ether_addr(common->curbssid) &&
-+                  ether_addr_equal(hdr->addr3, common->curbssid))
-+                      return true;
-+      }
-+
-+      return false;
-+}
-+
-+/*
-+ * For Decrypt or Demic errors, we only mark packet status here and always push
-+ * up the frame up to let mac80211 handle the actual error case, be it no
-+ * decryption key or real decryption error. This let us keep statistics there.
-+ */
-+static int ath9k_rx_skb_preprocess(struct ath_softc *sc,
-+                                 struct sk_buff *skb,
-+                                 struct ath_rx_status *rx_stats,
-+                                 struct ieee80211_rx_status *rx_status,
-+                                 bool *decrypt_error, u64 tsf)
-+{
-+      struct ieee80211_hw *hw = sc->hw;
-+      struct ath_hw *ah = sc->sc_ah;
-+      struct ath_common *common = ath9k_hw_common(ah);
-+      struct ieee80211_hdr *hdr;
-+      bool discard_current = sc->rx.discard_next;
-+      int ret = 0;
-+
-+      /*
-+       * Discard corrupt descriptors which are marked in
-+       * ath_get_next_rx_buf().
-+       */
-+      sc->rx.discard_next = rx_stats->rs_more;
-+      if (discard_current)
-+              return -EINVAL;
-+
-+      /*
-+       * Discard zero-length packets.
-+       */
-+      if (!rx_stats->rs_datalen) {
-+              RX_STAT_INC(rx_len_err);
-+              return -EINVAL;
-+      }
-+
-+        /*
-+         * rs_status follows rs_datalen so if rs_datalen is too large
-+         * we can take a hint that hardware corrupted it, so ignore
-+         * those frames.
-+         */
-+      if (rx_stats->rs_datalen > (common->rx_bufsize - ah->caps.rx_status_len)) {
-+              RX_STAT_INC(rx_len_err);
-+              return -EINVAL;
-+      }
-+
-+      /* Only use status info from the last fragment */
-+      if (rx_stats->rs_more)
-+              return 0;
-+
-+      /*
-+       * Return immediately if the RX descriptor has been marked
-+       * as corrupt based on the various error bits.
-+       *
-+       * This is different from the other corrupt descriptor
-+       * condition handled above.
-+       */
-+      if (rx_stats->rs_status & ATH9K_RXERR_CORRUPT_DESC) {
-+              ret = -EINVAL;
-+              goto exit;
-+      }
-+
-+      hdr = (struct ieee80211_hdr *) (skb->data + ah->caps.rx_status_len);
-+
-+      ath9k_process_tsf(rx_stats, rx_status, tsf);
-+      ath_debug_stat_rx(sc, rx_stats);
-+
-+      /*
-+       * Process PHY errors and return so that the packet
-+       * can be dropped.
-+       */
-+      if (rx_stats->rs_status & ATH9K_RXERR_PHY) {
-+              ath9k_dfs_process_phyerr(sc, hdr, rx_stats, rx_status->mactime);
-+              if (ath_process_fft(sc, hdr, rx_stats, rx_status->mactime))
-+                      RX_STAT_INC(rx_spectral);
-+
-+              ret = -EINVAL;
-+              goto exit;
-+      }
-+
-+      /*
-+       * everything but the rate is checked here, the rate check is done
-+       * separately to avoid doing two lookups for a rate for each frame.
-+       */
-+      if (!ath9k_rx_accept(common, hdr, rx_status, rx_stats, decrypt_error)) {
-+              ret = -EINVAL;
-+              goto exit;
-+      }
-+
-+      rx_stats->is_mybeacon = ath9k_is_mybeacon(sc, hdr);
-+      if (rx_stats->is_mybeacon) {
-+              sc->hw_busy_count = 0;
-+              ath_start_rx_poll(sc, 3);
-+      }
-+
-+      if (ath9k_process_rate(common, hw, rx_stats, rx_status)) {
-+              ret =-EINVAL;
-+              goto exit;
-+      }
-+
-+      ath9k_process_rssi(common, hw, rx_stats, rx_status);
-+
-+      rx_status->band = hw->conf.chandef.chan->band;
-+      rx_status->freq = hw->conf.chandef.chan->center_freq;
-+      rx_status->antenna = rx_stats->rs_antenna;
-+      rx_status->flag |= RX_FLAG_MACTIME_END;
-+
-+#ifdef CPTCFG_ATH9K_BTCOEX_SUPPORT
-+      if (ieee80211_is_data_present(hdr->frame_control) &&
-+          !ieee80211_is_qos_nullfunc(hdr->frame_control))
-+              sc->rx.num_pkts++;
-+#endif
-+
-+exit:
-+      sc->rx.discard_next = false;
-+      return ret;
-+}
-+
-+static void ath9k_rx_skb_postprocess(struct ath_common *common,
-+                                   struct sk_buff *skb,
-+                                   struct ath_rx_status *rx_stats,
-+                                   struct ieee80211_rx_status *rxs,
-+                                   bool decrypt_error)
-+{
-+      struct ath_hw *ah = common->ah;
-+      struct ieee80211_hdr *hdr;
-+      int hdrlen, padpos, padsize;
-+      u8 keyix;
-+      __le16 fc;
-+
-+      /* see if any padding is done by the hw and remove it */
-+      hdr = (struct ieee80211_hdr *) skb->data;
-+      hdrlen = ieee80211_get_hdrlen_from_skb(skb);
-+      fc = hdr->frame_control;
-+      padpos = ieee80211_hdrlen(fc);
-+
-+      /* The MAC header is padded to have 32-bit boundary if the
-+       * packet payload is non-zero. The general calculation for
-+       * padsize would take into account odd header lengths:
-+       * padsize = (4 - padpos % 4) % 4; However, since only
-+       * even-length headers are used, padding can only be 0 or 2
-+       * bytes and we can optimize this a bit. In addition, we must
-+       * not try to remove padding from short control frames that do
-+       * not have payload. */
-+      padsize = padpos & 3;
-+      if (padsize && skb->len>=padpos+padsize+FCS_LEN) {
-+              memmove(skb->data + padsize, skb->data, padpos);
-+              skb_pull(skb, padsize);
-+      }
-+
-+      keyix = rx_stats->rs_keyix;
-+
-+      if (!(keyix == ATH9K_RXKEYIX_INVALID) && !decrypt_error &&
-+          ieee80211_has_protected(fc)) {
-+              rxs->flag |= RX_FLAG_DECRYPTED;
-+      } else if (ieee80211_has_protected(fc)
-+                 && !decrypt_error && skb->len >= hdrlen + 4) {
-+              keyix = skb->data[hdrlen + 3] >> 6;
-+
-+              if (test_bit(keyix, common->keymap))
-+                      rxs->flag |= RX_FLAG_DECRYPTED;
-+      }
-+      if (ah->sw_mgmt_crypto &&
-+          (rxs->flag & RX_FLAG_DECRYPTED) &&
-+          ieee80211_is_mgmt(fc))
-+              /* Use software decrypt for management frames. */
-+              rxs->flag &= ~RX_FLAG_DECRYPTED;
-+}
-+
-+/*
-+ * Run the LNA combining algorithm only in these cases:
-+ *
-+ * Standalone WLAN cards with both LNA/Antenna diversity
-+ * enabled in the EEPROM.
-+ *
-+ * WLAN+BT cards which are in the supported card list
-+ * in ath_pci_id_table and the user has loaded the
-+ * driver with "bt_ant_diversity" set to true.
-+ */
-+static void ath9k_antenna_check(struct ath_softc *sc,
-+                              struct ath_rx_status *rs)
-+{
-+      struct ath_hw *ah = sc->sc_ah;
-+      struct ath9k_hw_capabilities *pCap = &ah->caps;
-+      struct ath_common *common = ath9k_hw_common(ah);
-+
-+      if (!(ah->caps.hw_caps & ATH9K_HW_CAP_ANT_DIV_COMB))
-+              return;
-+
-+      /*
-+       * All MPDUs in an aggregate will use the same LNA
-+       * as the first MPDU.
-+       */
-+      if (rs->rs_isaggr && !rs->rs_firstaggr)
-+              return;
-+
-+      /*
-+       * Change the default rx antenna if rx diversity
-+       * chooses the other antenna 3 times in a row.
-+       */
-+      if (sc->rx.defant != rs->rs_antenna) {
-+              if (++sc->rx.rxotherant >= 3)
-+                      ath_setdefantenna(sc, rs->rs_antenna);
-+      } else {
-+              sc->rx.rxotherant = 0;
-+      }
-+
-+      if (pCap->hw_caps & ATH9K_HW_CAP_BT_ANT_DIV) {
-+              if (common->bt_ant_diversity)
-+                      ath_ant_comb_scan(sc, rs);
-+      } else {
-+              ath_ant_comb_scan(sc, rs);
-+      }
-+}
-+
- static void ath9k_apply_ampdu_details(struct ath_softc *sc,
-       struct ath_rx_status *rs, struct ieee80211_rx_status *rxs)
- {
-@@ -1159,15 +1310,12 @@ int ath_rx_tasklet(struct ath_softc *sc,
-       struct ath_hw *ah = sc->sc_ah;
-       struct ath_common *common = ath9k_hw_common(ah);
-       struct ieee80211_hw *hw = sc->hw;
--      struct ieee80211_hdr *hdr;
-       int retval;
-       struct ath_rx_status rs;
-       enum ath9k_rx_qtype qtype;
-       bool edma = !!(ah->caps.hw_caps & ATH9K_HW_CAP_EDMA);
-       int dma_type;
--      u8 rx_status_len = ah->caps.rx_status_len;
-       u64 tsf = 0;
--      u32 tsf_lower = 0;
-       unsigned long flags;
-       dma_addr_t new_buf_addr;
-@@ -1179,7 +1327,6 @@ int ath_rx_tasklet(struct ath_softc *sc,
-       qtype = hp ? ATH9K_RX_QUEUE_HP : ATH9K_RX_QUEUE_LP;
-       tsf = ath9k_hw_gettsf64(ah);
--      tsf_lower = tsf & 0xffffffff;
-       do {
-               bool decrypt_error = false;
-@@ -1206,55 +1353,14 @@ int ath_rx_tasklet(struct ath_softc *sc,
-               else
-                       hdr_skb = skb;
--              hdr = (struct ieee80211_hdr *) (hdr_skb->data + rx_status_len);
-               rxs = IEEE80211_SKB_RXCB(hdr_skb);
--              if (ieee80211_is_beacon(hdr->frame_control)) {
--                      RX_STAT_INC(rx_beacons);
--                      if (!is_zero_ether_addr(common->curbssid) &&
--                          ether_addr_equal(hdr->addr3, common->curbssid))
--                              rs.is_mybeacon = true;
--                      else
--                              rs.is_mybeacon = false;
--              }
--              else
--                      rs.is_mybeacon = false;
--
--              if (ieee80211_is_data_present(hdr->frame_control) &&
--                  !ieee80211_is_qos_nullfunc(hdr->frame_control))
--                      sc->rx.num_pkts++;
--
--              ath_debug_stat_rx(sc, &rs);
--
-               memset(rxs, 0, sizeof(struct ieee80211_rx_status));
--              rxs->mactime = (tsf & ~0xffffffffULL) | rs.rs_tstamp;
--              if (rs.rs_tstamp > tsf_lower &&
--                  unlikely(rs.rs_tstamp - tsf_lower > 0x10000000))
--                      rxs->mactime -= 0x100000000ULL;
--
--              if (rs.rs_tstamp < tsf_lower &&
--                  unlikely(tsf_lower - rs.rs_tstamp > 0x10000000))
--                      rxs->mactime += 0x100000000ULL;
--
--              if (rs.rs_phyerr == ATH9K_PHYERR_RADAR)
--                      ath9k_dfs_process_phyerr(sc, hdr, &rs, rxs->mactime);
--
--              if (rs.rs_status & ATH9K_RXERR_PHY) {
--                      if (ath_process_fft(sc, hdr, &rs, rxs->mactime)) {
--                              RX_STAT_INC(rx_spectral);
--                              goto requeue_drop_frag;
--                      }
--              }
--
--              retval = ath9k_rx_skb_preprocess(sc, hdr, &rs, rxs,
--                                               &decrypt_error);
-+              retval = ath9k_rx_skb_preprocess(sc, hdr_skb, &rs, rxs,
-+                                               &decrypt_error, tsf);
-               if (retval)
-                       goto requeue_drop_frag;
--              if (rs.is_mybeacon) {
--                      sc->hw_busy_count = 0;
--                      ath_start_rx_poll(sc, 3);
--              }
-               /* Ensure we always have an skb to requeue once we are done
-                * processing the current buffer's skb */
-               requeue_skb = ath_rxbuf_alloc(common, common->rx_bufsize, GFP_ATOMIC);
-@@ -1308,8 +1414,6 @@ int ath_rx_tasklet(struct ath_softc *sc,
-                       sc->rx.frag = skb;
-                       goto requeue;
-               }
--              if (rs.rs_status & ATH9K_RXERR_CORRUPT_DESC)
--                      goto requeue_drop_frag;
-               if (sc->rx.frag) {
-                       int space = skb->len - skb_tailroom(hdr_skb);
-@@ -1328,22 +1432,6 @@ int ath_rx_tasklet(struct ath_softc *sc,
-                       skb = hdr_skb;
-               }
--
--              if (ah->caps.hw_caps & ATH9K_HW_CAP_ANT_DIV_COMB) {
--
--                      /*
--                       * change the default rx antenna if rx diversity
--                       * chooses the other antenna 3 times in a row.
--                       */
--                      if (sc->rx.defant != rs.rs_antenna) {
--                              if (++sc->rx.rxotherant >= 3)
--                                      ath_setdefantenna(sc, rs.rs_antenna);
--                      } else {
--                              sc->rx.rxotherant = 0;
--                      }
--
--              }
--
-               if (rxs->flag & RX_FLAG_MMIC_STRIPPED)
-                       skb_trim(skb, skb->len - 8);
-@@ -1355,8 +1443,7 @@ int ath_rx_tasklet(struct ath_softc *sc,
-                       ath_rx_ps(sc, skb, rs.is_mybeacon);
-               spin_unlock_irqrestore(&sc->sc_pm_lock, flags);
--              if ((ah->caps.hw_caps & ATH9K_HW_CAP_ANT_DIV_COMB) && sc->ant_rx == 3)
--                      ath_ant_comb_scan(sc, &rs);
-+              ath9k_antenna_check(sc, &rs);
-               ath9k_apply_ampdu_details(sc, &rs, rxs);
-@@ -1375,7 +1462,7 @@ requeue:
-               if (edma) {
-                       ath_rx_edma_buf_link(sc, qtype);
-               } else {
--                      ath_rx_buf_link(sc, bf);
-+                      ath_rx_buf_relink(sc, bf);
-                       ath9k_hw_rxena(ah);
-               }
-       } while (1);
---- a/drivers/net/wireless/ath/ath9k/init.c
-+++ b/drivers/net/wireless/ath/ath9k/init.c
-@@ -53,9 +53,9 @@ static int ath9k_btcoex_enable;
- module_param_named(btcoex_enable, ath9k_btcoex_enable, int, 0444);
- MODULE_PARM_DESC(btcoex_enable, "Enable wifi-BT coexistence");
--static int ath9k_enable_diversity;
--module_param_named(enable_diversity, ath9k_enable_diversity, int, 0444);
--MODULE_PARM_DESC(enable_diversity, "Enable Antenna diversity for AR9565");
-+static int ath9k_bt_ant_diversity;
-+module_param_named(bt_ant_diversity, ath9k_bt_ant_diversity, int, 0444);
-+MODULE_PARM_DESC(bt_ant_diversity, "Enable WLAN/BT RX antenna diversity");
- bool is_ath9k_unloaded;
- /* We use the hw_value as an index into our private channel structure */
-@@ -516,6 +516,7 @@ static void ath9k_init_misc(struct ath_s
- static void ath9k_init_platform(struct ath_softc *sc)
- {
-       struct ath_hw *ah = sc->sc_ah;
-+      struct ath9k_hw_capabilities *pCap = &ah->caps;
-       struct ath_common *common = ath9k_hw_common(ah);
-       if (common->bus_ops->ath_bus_type != ATH_PCI)
-@@ -525,12 +526,27 @@ static void ath9k_init_platform(struct a
-                              ATH9K_PCI_CUS230)) {
-               ah->config.xlna_gpio = 9;
-               ah->config.xatten_margin_cfg = true;
-+              ah->config.alt_mingainidx = true;
-+              ah->config.ant_ctrl_comm2g_switch_enable = 0x000BBB88;
-+              sc->ant_comb.low_rssi_thresh = 20;
-+              sc->ant_comb.fast_div_bias = 3;
-               ath_info(common, "Set parameters for %s\n",
-                        (sc->driver_data & ATH9K_PCI_CUS198) ?
-                        "CUS198" : "CUS230");
--      } else if (sc->driver_data & ATH9K_PCI_CUS217) {
-+      }
-+
-+      if (sc->driver_data & ATH9K_PCI_CUS217)
-               ath_info(common, "CUS217 card detected\n");
-+
-+      if (sc->driver_data & ATH9K_PCI_BT_ANT_DIV) {
-+              pCap->hw_caps |= ATH9K_HW_CAP_BT_ANT_DIV;
-+              ath_info(common, "Set BT/WLAN RX diversity capability\n");
-+      }
-+
-+      if (sc->driver_data & ATH9K_PCI_D3_L1_WAR) {
-+              ah->config.pcie_waen = 0x0040473b;
-+              ath_info(common, "Enable WAR for ASPM D3/L1\n");
-       }
- }
-@@ -584,6 +600,7 @@ static int ath9k_init_softc(u16 devid, s
- {
-       struct ath9k_platform_data *pdata = sc->dev->platform_data;
-       struct ath_hw *ah = NULL;
-+      struct ath9k_hw_capabilities *pCap;
-       struct ath_common *common;
-       int ret = 0, i;
-       int csz = 0;
-@@ -600,6 +617,7 @@ static int ath9k_init_softc(u16 devid, s
-       ah->reg_ops.rmw = ath9k_reg_rmw;
-       atomic_set(&ah->intr_ref_cnt, -1);
-       sc->sc_ah = ah;
-+      pCap = &ah->caps;
-       sc->dfs_detector = dfs_pattern_detector_init(ah, NL80211_DFS_UNSET);
-@@ -631,11 +649,15 @@ static int ath9k_init_softc(u16 devid, s
-       ath9k_init_platform(sc);
-       /*
--       * Enable Antenna diversity only when BTCOEX is disabled
--       * and the user manually requests the feature.
-+       * Enable WLAN/BT RX Antenna diversity only when:
-+       *
-+       * - BTCOEX is disabled.
-+       * - the user manually requests the feature.
-+       * - the HW cap is set using the platform data.
-        */
--      if (!common->btcoex_enabled && ath9k_enable_diversity)
--              common->antenna_diversity = 1;
-+      if (!common->btcoex_enabled && ath9k_bt_ant_diversity &&
-+          (pCap->hw_caps & ATH9K_HW_CAP_BT_ANT_DIV))
-+              common->bt_ant_diversity = 1;
-       spin_lock_init(&common->cc_lock);
-@@ -710,13 +732,15 @@ static void ath9k_init_band_txpower(stru
-       struct ieee80211_supported_band *sband;
-       struct ieee80211_channel *chan;
-       struct ath_hw *ah = sc->sc_ah;
-+      struct cfg80211_chan_def chandef;
-       int i;
-       sband = &sc->sbands[band];
-       for (i = 0; i < sband->n_channels; i++) {
-               chan = &sband->channels[i];
-               ah->curchan = &ah->channels[chan->hw_value];
--              ath9k_cmn_update_ichannel(ah->curchan, chan, NL80211_CHAN_HT20);
-+              cfg80211_chandef_create(&chandef, chan, NL80211_CHAN_HT20);
-+              ath9k_cmn_update_ichannel(ah->curchan, &chandef);
-               ath9k_hw_set_txpowerlimit(ah, MAX_RATE_POWER, true);
-       }
- }
-@@ -802,7 +826,8 @@ void ath9k_set_hw_capab(struct ath_softc
-               IEEE80211_HW_PS_NULLFUNC_STACK |
-               IEEE80211_HW_SPECTRUM_MGMT |
-               IEEE80211_HW_REPORTS_TX_ACK_STATUS |
--              IEEE80211_HW_SUPPORTS_RC_TABLE;
-+              IEEE80211_HW_SUPPORTS_RC_TABLE |
-+              IEEE80211_HW_SUPPORTS_HT_CCK_RATES;
-       if (sc->sc_ah->caps.hw_caps & ATH9K_HW_CAP_HT) {
-               hw->flags |= IEEE80211_HW_AMPDU_AGGREGATION;
---- a/drivers/net/wireless/ath/carl9170/main.c
-+++ b/drivers/net/wireless/ath/carl9170/main.c
-@@ -1878,7 +1878,8 @@ void *carl9170_alloc(size_t priv_size)
-                    IEEE80211_HW_PS_NULLFUNC_STACK |
-                    IEEE80211_HW_NEED_DTIM_BEFORE_ASSOC |
-                    IEEE80211_HW_SUPPORTS_RC_TABLE |
--                   IEEE80211_HW_SIGNAL_DBM;
-+                   IEEE80211_HW_SIGNAL_DBM |
-+                   IEEE80211_HW_SUPPORTS_HT_CCK_RATES;
-       if (!modparam_noht) {
-               /*
---- a/drivers/net/wireless/rt2x00/rt2800lib.c
-+++ b/drivers/net/wireless/rt2x00/rt2800lib.c
-@@ -6133,7 +6133,8 @@ static int rt2800_probe_hw_mode(struct r
-           IEEE80211_HW_SUPPORTS_PS |
-           IEEE80211_HW_PS_NULLFUNC_STACK |
-           IEEE80211_HW_AMPDU_AGGREGATION |
--          IEEE80211_HW_REPORTS_TX_ACK_STATUS;
-+          IEEE80211_HW_REPORTS_TX_ACK_STATUS |
-+          IEEE80211_HW_SUPPORTS_HT_CCK_RATES;
-       /*
-        * Don't set IEEE80211_HW_HOST_BROADCAST_PS_BUFFERING for USB devices
---- a/include/net/mac80211.h
-+++ b/include/net/mac80211.h
-@@ -152,11 +152,14 @@ struct ieee80211_low_level_stats {
-  * @IEEE80211_CHANCTX_CHANGE_WIDTH: The channel width changed
-  * @IEEE80211_CHANCTX_CHANGE_RX_CHAINS: The number of RX chains changed
-  * @IEEE80211_CHANCTX_CHANGE_RADAR: radar detection flag changed
-+ * @IEEE80211_CHANCTX_CHANGE_CHANNEL: switched to another operating channel,
-+ *    this is used only with channel switching with CSA
-  */
- enum ieee80211_chanctx_change {
-       IEEE80211_CHANCTX_CHANGE_WIDTH          = BIT(0),
-       IEEE80211_CHANCTX_CHANGE_RX_CHAINS      = BIT(1),
-       IEEE80211_CHANCTX_CHANGE_RADAR          = BIT(2),
-+      IEEE80211_CHANCTX_CHANGE_CHANNEL        = BIT(3),
- };
- /**
-@@ -1080,6 +1083,7 @@ enum ieee80211_vif_flags {
-  * @addr: address of this interface
-  * @p2p: indicates whether this AP or STA interface is a p2p
-  *    interface, i.e. a GO or p2p-sta respectively
-+ * @csa_active: marks whether a channel switch is going on
-  * @driver_flags: flags/capabilities the driver has for this interface,
-  *    these need to be set (or cleared) when the interface is added
-  *    or, if supported by the driver, the interface type is changed
-@@ -1102,6 +1106,7 @@ struct ieee80211_vif {
-       struct ieee80211_bss_conf bss_conf;
-       u8 addr[ETH_ALEN];
-       bool p2p;
-+      bool csa_active;
-       u8 cab_queue;
-       u8 hw_queue[IEEE80211_NUM_ACS];
-@@ -1499,6 +1504,7 @@ enum ieee80211_hw_flags {
-       IEEE80211_HW_SUPPORTS_RC_TABLE                  = 1<<24,
-       IEEE80211_HW_P2P_DEV_ADDR_FOR_INTF              = 1<<25,
-       IEEE80211_HW_TIMING_BEACON_ONLY                 = 1<<26,
-+      IEEE80211_HW_SUPPORTS_HT_CCK_RATES              = 1<<27,
- };
- /**
-@@ -2633,6 +2639,16 @@ enum ieee80211_roc_type {
-  * @ipv6_addr_change: IPv6 address assignment on the given interface changed.
-  *    Currently, this is only called for managed or P2P client interfaces.
-  *    This callback is optional; it must not sleep.
-+ *
-+ * @channel_switch_beacon: Starts a channel switch to a new channel.
-+ *    Beacons are modified to include CSA or ECSA IEs before calling this
-+ *    function. The corresponding count fields in these IEs must be
-+ *    decremented, and when they reach zero the driver must call
-+ *    ieee80211_csa_finish(). Drivers which use ieee80211_beacon_get()
-+ *    get the csa counter decremented by mac80211, but must check if it is
-+ *    zero using ieee80211_csa_is_complete() after the beacon has been
-+ *    transmitted and then call ieee80211_csa_finish().
-+ *
-  */
- struct ieee80211_ops {
-       void (*tx)(struct ieee80211_hw *hw,
-@@ -2830,6 +2846,9 @@ struct ieee80211_ops {
-                                struct ieee80211_vif *vif,
-                                struct inet6_dev *idev);
- #endif
-+      void (*channel_switch_beacon)(struct ieee80211_hw *hw,
-+                                    struct ieee80211_vif *vif,
-+                                    struct cfg80211_chan_def *chandef);
- };
- /**
-@@ -3325,6 +3344,25 @@ static inline struct sk_buff *ieee80211_
- }
- /**
-+ * ieee80211_csa_finish - notify mac80211 about channel switch
-+ * @vif: &struct ieee80211_vif pointer from the add_interface callback.
-+ *
-+ * After a channel switch announcement was scheduled and the counter in this
-+ * announcement hit zero, this function must be called by the driver to
-+ * notify mac80211 that the channel can be changed.
-+ */
-+void ieee80211_csa_finish(struct ieee80211_vif *vif);
-+
-+/**
-+ * ieee80211_csa_is_complete - find out if counters reached zero
-+ * @vif: &struct ieee80211_vif pointer from the add_interface callback.
-+ *
-+ * This function returns whether the channel switch counters reached zero.
-+ */
-+bool ieee80211_csa_is_complete(struct ieee80211_vif *vif);
-+
-+
-+/**
-  * ieee80211_proberesp_get - retrieve a Probe Response template
-  * @hw: pointer obtained from ieee80211_alloc_hw().
-  * @vif: &struct ieee80211_vif pointer from the add_interface callback.
---- a/net/mac80211/cfg.c
-+++ b/net/mac80211/cfg.c
-@@ -854,8 +854,8 @@ static int ieee80211_set_probe_resp(stru
-       return 0;
- }
--static int ieee80211_assign_beacon(struct ieee80211_sub_if_data *sdata,
--                                 struct cfg80211_beacon_data *params)
-+int ieee80211_assign_beacon(struct ieee80211_sub_if_data *sdata,
-+                          struct cfg80211_beacon_data *params)
- {
-       struct beacon_data *new, *old;
-       int new_head_len, new_tail_len;
-@@ -1018,6 +1018,12 @@ static int ieee80211_change_beacon(struc
-       sdata = IEEE80211_DEV_TO_SUB_IF(dev);
-+      /* don't allow changing the beacon while CSA is in place - offset
-+       * of channel switch counter may change
-+       */
-+      if (sdata->vif.csa_active)
-+              return -EBUSY;
-+
-       old = rtnl_dereference(sdata->u.ap.beacon);
-       if (!old)
-               return -ENOENT;
-@@ -1042,6 +1048,10 @@ static int ieee80211_stop_ap(struct wiph
-               return -ENOENT;
-       old_probe_resp = rtnl_dereference(sdata->u.ap.probe_resp);
-+      /* abort any running channel switch */
-+      sdata->vif.csa_active = false;
-+      cancel_work_sync(&sdata->csa_finalize_work);
-+
-       /* turn off carrier for this interface and dependent VLANs */
-       list_for_each_entry(vlan, &sdata->u.ap.vlans, u.vlan.list)
-               netif_carrier_off(vlan->dev);
-@@ -2784,6 +2794,178 @@ static int ieee80211_start_radar_detecti
-       return 0;
- }
-+static struct cfg80211_beacon_data *
-+cfg80211_beacon_dup(struct cfg80211_beacon_data *beacon)
-+{
-+      struct cfg80211_beacon_data *new_beacon;
-+      u8 *pos;
-+      int len;
-+
-+      len = beacon->head_len + beacon->tail_len + beacon->beacon_ies_len +
-+            beacon->proberesp_ies_len + beacon->assocresp_ies_len +
-+            beacon->probe_resp_len;
-+
-+      new_beacon = kzalloc(sizeof(*new_beacon) + len, GFP_KERNEL);
-+      if (!new_beacon)
-+              return NULL;
-+
-+      pos = (u8 *)(new_beacon + 1);
-+      if (beacon->head_len) {
-+              new_beacon->head_len = beacon->head_len;
-+              new_beacon->head = pos;
-+              memcpy(pos, beacon->head, beacon->head_len);
-+              pos += beacon->head_len;
-+      }
-+      if (beacon->tail_len) {
-+              new_beacon->tail_len = beacon->tail_len;
-+              new_beacon->tail = pos;
-+              memcpy(pos, beacon->tail, beacon->tail_len);
-+              pos += beacon->tail_len;
-+      }
-+      if (beacon->beacon_ies_len) {
-+              new_beacon->beacon_ies_len = beacon->beacon_ies_len;
-+              new_beacon->beacon_ies = pos;
-+              memcpy(pos, beacon->beacon_ies, beacon->beacon_ies_len);
-+              pos += beacon->beacon_ies_len;
-+      }
-+      if (beacon->proberesp_ies_len) {
-+              new_beacon->proberesp_ies_len = beacon->proberesp_ies_len;
-+              new_beacon->proberesp_ies = pos;
-+              memcpy(pos, beacon->proberesp_ies, beacon->proberesp_ies_len);
-+              pos += beacon->proberesp_ies_len;
-+      }
-+      if (beacon->assocresp_ies_len) {
-+              new_beacon->assocresp_ies_len = beacon->assocresp_ies_len;
-+              new_beacon->assocresp_ies = pos;
-+              memcpy(pos, beacon->assocresp_ies, beacon->assocresp_ies_len);
-+              pos += beacon->assocresp_ies_len;
-+      }
-+      if (beacon->probe_resp_len) {
-+              new_beacon->probe_resp_len = beacon->probe_resp_len;
-+              beacon->probe_resp = pos;
-+              memcpy(pos, beacon->probe_resp, beacon->probe_resp_len);
-+              pos += beacon->probe_resp_len;
-+      }
-+
-+      return new_beacon;
-+}
-+
-+void ieee80211_csa_finalize_work(struct work_struct *work)
-+{
-+      struct ieee80211_sub_if_data *sdata =
-+              container_of(work, struct ieee80211_sub_if_data,
-+                           csa_finalize_work);
-+      struct ieee80211_local *local = sdata->local;
-+      int err, changed;
-+
-+      if (!ieee80211_sdata_running(sdata))
-+              return;
-+
-+      if (WARN_ON(sdata->vif.type != NL80211_IFTYPE_AP))
-+              return;
-+
-+      sdata->radar_required = sdata->csa_radar_required;
-+      err = ieee80211_vif_change_channel(sdata, &local->csa_chandef,
-+                                         &changed);
-+      if (WARN_ON(err < 0))
-+              return;
-+
-+      err = ieee80211_assign_beacon(sdata, sdata->u.ap.next_beacon);
-+      if (err < 0)
-+              return;
-+
-+      changed |= err;
-+      kfree(sdata->u.ap.next_beacon);
-+      sdata->u.ap.next_beacon = NULL;
-+      sdata->vif.csa_active = false;
-+
-+      ieee80211_wake_queues_by_reason(&sdata->local->hw,
-+                                      IEEE80211_MAX_QUEUE_MAP,
-+                                      IEEE80211_QUEUE_STOP_REASON_CSA);
-+
-+      ieee80211_bss_info_change_notify(sdata, changed);
-+
-+      cfg80211_ch_switch_notify(sdata->dev, &local->csa_chandef);
-+}
-+
-+static int ieee80211_channel_switch(struct wiphy *wiphy, struct net_device *dev,
-+                                  struct cfg80211_csa_settings *params)
-+{
-+      struct ieee80211_sub_if_data *sdata = IEEE80211_DEV_TO_SUB_IF(dev);
-+      struct ieee80211_local *local = sdata->local;
-+      struct ieee80211_chanctx_conf *chanctx_conf;
-+      struct ieee80211_chanctx *chanctx;
-+      int err, num_chanctx;
-+
-+      if (!list_empty(&local->roc_list) || local->scanning)
-+              return -EBUSY;
-+
-+      if (sdata->wdev.cac_started)
-+              return -EBUSY;
-+
-+      if (cfg80211_chandef_identical(&params->chandef,
-+                                     &sdata->vif.bss_conf.chandef))
-+              return -EINVAL;
-+
-+      rcu_read_lock();
-+      chanctx_conf = rcu_dereference(sdata->vif.chanctx_conf);
-+      if (!chanctx_conf) {
-+              rcu_read_unlock();
-+              return -EBUSY;
-+      }
-+
-+      /* don't handle for multi-VIF cases */
-+      chanctx = container_of(chanctx_conf, struct ieee80211_chanctx, conf);
-+      if (chanctx->refcount > 1) {
-+              rcu_read_unlock();
-+              return -EBUSY;
-+      }
-+      num_chanctx = 0;
-+      list_for_each_entry_rcu(chanctx, &local->chanctx_list, list)
-+              num_chanctx++;
-+      rcu_read_unlock();
-+
-+      if (num_chanctx > 1)
-+              return -EBUSY;
-+
-+      /* don't allow another channel switch if one is already active. */
-+      if (sdata->vif.csa_active)
-+              return -EBUSY;
-+
-+      /* only handle AP for now. */
-+      switch (sdata->vif.type) {
-+      case NL80211_IFTYPE_AP:
-+              break;
-+      default:
-+              return -EOPNOTSUPP;
-+      }
-+
-+      sdata->u.ap.next_beacon = cfg80211_beacon_dup(&params->beacon_after);
-+      if (!sdata->u.ap.next_beacon)
-+              return -ENOMEM;
-+
-+      sdata->csa_counter_offset_beacon = params->counter_offset_beacon;
-+      sdata->csa_counter_offset_presp = params->counter_offset_presp;
-+      sdata->csa_radar_required = params->radar_required;
-+
-+      if (params->block_tx)
-+              ieee80211_stop_queues_by_reason(&local->hw,
-+                              IEEE80211_MAX_QUEUE_MAP,
-+                              IEEE80211_QUEUE_STOP_REASON_CSA);
-+
-+      err = ieee80211_assign_beacon(sdata, &params->beacon_csa);
-+      if (err < 0)
-+              return err;
-+
-+      local->csa_chandef = params->chandef;
-+      sdata->vif.csa_active = true;
-+
-+      ieee80211_bss_info_change_notify(sdata, err);
-+      drv_channel_switch_beacon(sdata, &params->chandef);
-+
-+      return 0;
-+}
-+
- static int ieee80211_mgmt_tx(struct wiphy *wiphy, struct wireless_dev *wdev,
-                            struct ieee80211_channel *chan, bool offchan,
-                            unsigned int wait, const u8 *buf, size_t len,
-@@ -3501,4 +3683,5 @@ struct cfg80211_ops mac80211_config_ops 
-       .get_et_strings = ieee80211_get_et_strings,
-       .get_channel = ieee80211_cfg_get_channel,
-       .start_radar_detection = ieee80211_start_radar_detection,
-+      .channel_switch = ieee80211_channel_switch,
- };
---- a/net/mac80211/chan.c
-+++ b/net/mac80211/chan.c
-@@ -410,6 +410,64 @@ int ieee80211_vif_use_channel(struct iee
-       return ret;
- }
-+int ieee80211_vif_change_channel(struct ieee80211_sub_if_data *sdata,
-+                               const struct cfg80211_chan_def *chandef,
-+                               u32 *changed)
-+{
-+      struct ieee80211_local *local = sdata->local;
-+      struct ieee80211_chanctx_conf *conf;
-+      struct ieee80211_chanctx *ctx;
-+      int ret;
-+      u32 chanctx_changed = 0;
-+
-+      /* should never be called if not performing a channel switch. */
-+      if (WARN_ON(!sdata->vif.csa_active))
-+              return -EINVAL;
-+
-+      if (!cfg80211_chandef_usable(sdata->local->hw.wiphy, chandef,
-+                                   IEEE80211_CHAN_DISABLED))
-+              return -EINVAL;
-+
-+      mutex_lock(&local->chanctx_mtx);
-+      conf = rcu_dereference_protected(sdata->vif.chanctx_conf,
-+                                       lockdep_is_held(&local->chanctx_mtx));
-+      if (!conf) {
-+              ret = -EINVAL;
-+              goto out;
-+      }
-+
-+      ctx = container_of(conf, struct ieee80211_chanctx, conf);
-+      if (ctx->refcount != 1) {
-+              ret = -EINVAL;
-+              goto out;
-+      }
-+
-+      if (sdata->vif.bss_conf.chandef.width != chandef->width) {
-+              chanctx_changed = IEEE80211_CHANCTX_CHANGE_WIDTH;
-+              *changed |= BSS_CHANGED_BANDWIDTH;
-+      }
-+
-+      sdata->vif.bss_conf.chandef = *chandef;
-+      ctx->conf.def = *chandef;
-+
-+      chanctx_changed |= IEEE80211_CHANCTX_CHANGE_CHANNEL;
-+      drv_change_chanctx(local, ctx, chanctx_changed);
-+
-+      if (!local->use_chanctx) {
-+              local->_oper_chandef = *chandef;
-+              ieee80211_hw_config(local, 0);
-+      }
-+
-+      ieee80211_recalc_chanctx_chantype(local, ctx);
-+      ieee80211_recalc_smps_chanctx(local, ctx);
-+      ieee80211_recalc_radar_chanctx(local, ctx);
-+
-+      ret = 0;
-+ out:
-+      mutex_unlock(&local->chanctx_mtx);
-+      return ret;
-+}
-+
- int ieee80211_vif_change_bandwidth(struct ieee80211_sub_if_data *sdata,
-                                  const struct cfg80211_chan_def *chandef,
-                                  u32 *changed)
---- a/net/mac80211/driver-ops.h
-+++ b/net/mac80211/driver-ops.h
-@@ -1104,4 +1104,17 @@ static inline void drv_ipv6_addr_change(
- }
- #endif
-+static inline void
-+drv_channel_switch_beacon(struct ieee80211_sub_if_data *sdata,
-+                        struct cfg80211_chan_def *chandef)
-+{
-+      struct ieee80211_local *local = sdata->local;
-+
-+      if (local->ops->channel_switch_beacon) {
-+              trace_drv_channel_switch_beacon(local, sdata, chandef);
-+              local->ops->channel_switch_beacon(&local->hw, &sdata->vif,
-+                                                chandef);
-+      }
-+}
-+
- #endif /* __MAC80211_DRIVER_OPS */
---- a/net/mac80211/ieee80211_i.h
-+++ b/net/mac80211/ieee80211_i.h
-@@ -53,9 +53,6 @@ struct ieee80211_local;
-  * increased memory use (about 2 kB of RAM per entry). */
- #define IEEE80211_FRAGMENT_MAX 4
--#define TU_TO_JIFFIES(x)      (usecs_to_jiffies((x) * 1024))
--#define TU_TO_EXP_TIME(x)     (jiffies + TU_TO_JIFFIES(x))
--
- /* power level hasn't been configured (or set to automatic) */
- #define IEEE80211_UNSET_POWER_LEVEL   INT_MIN
-@@ -259,6 +256,8 @@ struct ieee80211_if_ap {
-       struct beacon_data __rcu *beacon;
-       struct probe_resp __rcu *probe_resp;
-+      /* to be used after channel switch. */
-+      struct cfg80211_beacon_data *next_beacon;
-       struct list_head vlans;
-       struct ps_data ps;
-@@ -713,6 +712,11 @@ struct ieee80211_sub_if_data {
-       struct ieee80211_tx_queue_params tx_conf[IEEE80211_NUM_ACS];
-+      struct work_struct csa_finalize_work;
-+      int csa_counter_offset_beacon;
-+      int csa_counter_offset_presp;
-+      bool csa_radar_required;
-+
-       /* used to reconfigure hardware SM PS */
-       struct work_struct recalc_smps;
-@@ -1346,6 +1350,9 @@ void ieee80211_roc_notify_destroy(struct
- void ieee80211_sw_roc_work(struct work_struct *work);
- void ieee80211_handle_roc_started(struct ieee80211_roc_work *roc);
-+/* channel switch handling */
-+void ieee80211_csa_finalize_work(struct work_struct *work);
-+
- /* interface handling */
- int ieee80211_iface_init(void);
- void ieee80211_iface_exit(void);
-@@ -1367,6 +1374,8 @@ void ieee80211_del_virtual_monitor(struc
- bool __ieee80211_recalc_txpower(struct ieee80211_sub_if_data *sdata);
- void ieee80211_recalc_txpower(struct ieee80211_sub_if_data *sdata);
-+int ieee80211_assign_beacon(struct ieee80211_sub_if_data *sdata,
-+                          struct cfg80211_beacon_data *params);
- static inline bool ieee80211_sdata_running(struct ieee80211_sub_if_data *sdata)
- {
-@@ -1627,6 +1636,11 @@ int __must_check
- ieee80211_vif_change_bandwidth(struct ieee80211_sub_if_data *sdata,
-                              const struct cfg80211_chan_def *chandef,
-                              u32 *changed);
-+/* NOTE: only use ieee80211_vif_change_channel() for channel switch */
-+int __must_check
-+ieee80211_vif_change_channel(struct ieee80211_sub_if_data *sdata,
-+                           const struct cfg80211_chan_def *chandef,
-+                           u32 *changed);
- void ieee80211_vif_release_channel(struct ieee80211_sub_if_data *sdata);
- void ieee80211_vif_vlan_copy_chanctx(struct ieee80211_sub_if_data *sdata);
- void ieee80211_vif_copy_chanctx_to_vlans(struct ieee80211_sub_if_data *sdata,
---- a/net/mac80211/trace.h
-+++ b/net/mac80211/trace.h
-@@ -1906,6 +1906,32 @@ TRACE_EVENT(api_radar_detected,
-       )
- );
-+TRACE_EVENT(drv_channel_switch_beacon,
-+      TP_PROTO(struct ieee80211_local *local,
-+               struct ieee80211_sub_if_data *sdata,
-+               struct cfg80211_chan_def *chandef),
-+
-+      TP_ARGS(local, sdata, chandef),
-+
-+      TP_STRUCT__entry(
-+              LOCAL_ENTRY
-+              VIF_ENTRY
-+              CHANDEF_ENTRY
-+      ),
-+
-+      TP_fast_assign(
-+              LOCAL_ASSIGN;
-+              VIF_ASSIGN;
-+              CHANDEF_ASSIGN(chandef);
-+      ),
-+
-+      TP_printk(
-+              LOCAL_PR_FMT VIF_PR_FMT " channel switch to " CHANDEF_PR_FMT,
-+              LOCAL_PR_ARG, VIF_PR_ARG, CHANDEF_PR_ARG
-+      )
-+);
-+
-+
- #ifdef CPTCFG_MAC80211_MESSAGE_TRACING
- #undef TRACE_SYSTEM
- #define TRACE_SYSTEM mac80211_msg
---- a/net/mac80211/tx.c
-+++ b/net/mac80211/tx.c
-@@ -2326,6 +2326,81 @@ static int ieee80211_beacon_add_tim(stru
-       return 0;
- }
-+void ieee80211_csa_finish(struct ieee80211_vif *vif)
-+{
-+      struct ieee80211_sub_if_data *sdata = vif_to_sdata(vif);
-+
-+      ieee80211_queue_work(&sdata->local->hw,
-+                           &sdata->csa_finalize_work);
-+}
-+EXPORT_SYMBOL(ieee80211_csa_finish);
-+
-+static void ieee80211_update_csa(struct ieee80211_sub_if_data *sdata,
-+                               struct beacon_data *beacon)
-+{
-+      struct probe_resp *resp;
-+      int counter_offset_beacon = sdata->csa_counter_offset_beacon;
-+      int counter_offset_presp = sdata->csa_counter_offset_presp;
-+
-+      /* warn if the driver did not check for/react to csa completeness */
-+      if (WARN_ON(((u8 *)beacon->tail)[counter_offset_beacon] == 0))
-+              return;
-+
-+      ((u8 *)beacon->tail)[counter_offset_beacon]--;
-+
-+      if (sdata->vif.type == NL80211_IFTYPE_AP &&
-+          counter_offset_presp) {
-+              rcu_read_lock();
-+              resp = rcu_dereference(sdata->u.ap.probe_resp);
-+
-+              /* if nl80211 accepted the offset, this should not happen. */
-+              if (WARN_ON(!resp)) {
-+                      rcu_read_unlock();
-+                      return;
-+              }
-+              resp->data[counter_offset_presp]--;
-+              rcu_read_unlock();
-+      }
-+}
-+
-+bool ieee80211_csa_is_complete(struct ieee80211_vif *vif)
-+{
-+      struct ieee80211_sub_if_data *sdata = vif_to_sdata(vif);
-+      struct beacon_data *beacon = NULL;
-+      u8 *beacon_data;
-+      size_t beacon_data_len;
-+      int counter_beacon = sdata->csa_counter_offset_beacon;
-+      int ret = false;
-+
-+      if (!ieee80211_sdata_running(sdata))
-+              return false;
-+
-+      rcu_read_lock();
-+      if (vif->type == NL80211_IFTYPE_AP) {
-+              struct ieee80211_if_ap *ap = &sdata->u.ap;
-+
-+              beacon = rcu_dereference(ap->beacon);
-+              if (WARN_ON(!beacon || !beacon->tail))
-+                      goto out;
-+              beacon_data = beacon->tail;
-+              beacon_data_len = beacon->tail_len;
-+      } else {
-+              WARN_ON(1);
-+              goto out;
-+      }
-+
-+      if (WARN_ON(counter_beacon > beacon_data_len))
-+              goto out;
-+
-+      if (beacon_data[counter_beacon] == 0)
-+              ret = true;
-+ out:
-+      rcu_read_unlock();
-+
-+      return ret;
-+}
-+EXPORT_SYMBOL(ieee80211_csa_is_complete);
-+
- struct sk_buff *ieee80211_beacon_get_tim(struct ieee80211_hw *hw,
-                                        struct ieee80211_vif *vif,
-                                        u16 *tim_offset, u16 *tim_length)
-@@ -2356,6 +2431,9 @@ struct sk_buff *ieee80211_beacon_get_tim
-               struct beacon_data *beacon = rcu_dereference(ap->beacon);
-               if (beacon) {
-+                      if (sdata->vif.csa_active)
-+                              ieee80211_update_csa(sdata, beacon);
-+
-                       /*
-                        * headroom, head length,
-                        * tail length and maximum TIM length
---- a/net/wireless/rdev-ops.h
-+++ b/net/wireless/rdev-ops.h
-@@ -923,4 +923,16 @@ static inline void rdev_crit_proto_stop(
-       trace_rdev_return_void(&rdev->wiphy);
- }
-+static inline int rdev_channel_switch(struct cfg80211_registered_device *rdev,
-+                                    struct net_device *dev,
-+                                    struct cfg80211_csa_settings *params)
-+{
-+      int ret;
-+
-+      trace_rdev_channel_switch(&rdev->wiphy, dev, params);
-+      ret = rdev->ops->channel_switch(&rdev->wiphy, dev, params);
-+      trace_rdev_return_int(&rdev->wiphy, ret);
-+      return ret;
-+}
-+
- #endif /* __CFG80211_RDEV_OPS */
---- a/net/wireless/trace.h
-+++ b/net/wireless/trace.h
-@@ -1841,6 +1841,39 @@ TRACE_EVENT(rdev_crit_proto_stop,
-                 WIPHY_PR_ARG, WDEV_PR_ARG)
- );
-+TRACE_EVENT(rdev_channel_switch,
-+      TP_PROTO(struct wiphy *wiphy, struct net_device *netdev,
-+               struct cfg80211_csa_settings *params),
-+      TP_ARGS(wiphy, netdev, params),
-+      TP_STRUCT__entry(
-+              WIPHY_ENTRY
-+              NETDEV_ENTRY
-+              CHAN_DEF_ENTRY
-+              __field(u16, counter_offset_beacon)
-+              __field(u16, counter_offset_presp)
-+              __field(bool, radar_required)
-+              __field(bool, block_tx)
-+              __field(u8, count)
-+      ),
-+      TP_fast_assign(
-+              WIPHY_ASSIGN;
-+              NETDEV_ASSIGN;
-+              CHAN_DEF_ASSIGN(&params->chandef);
-+              __entry->counter_offset_beacon = params->counter_offset_beacon;
-+              __entry->counter_offset_presp = params->counter_offset_presp;
-+              __entry->radar_required = params->radar_required;
-+              __entry->block_tx = params->block_tx;
-+              __entry->count = params->count;
-+      ),
-+      TP_printk(WIPHY_PR_FMT ", " NETDEV_PR_FMT ", " CHAN_DEF_PR_FMT
-+                ", block_tx: %d, count: %u, radar_required: %d"
-+                ", counter offsets (beacon/presp): %u/%u",
-+                WIPHY_PR_ARG, NETDEV_PR_ARG, CHAN_DEF_PR_ARG,
-+                __entry->block_tx, __entry->count, __entry->radar_required,
-+                __entry->counter_offset_beacon,
-+                __entry->counter_offset_presp)
-+);
-+
- /*************************************************************
-  *         cfg80211 exported functions traces              *
-  *************************************************************/
---- a/drivers/net/wireless/ath/ath.h
-+++ b/drivers/net/wireless/ath/ath.h
-@@ -159,7 +159,7 @@ struct ath_common {
-       bool btcoex_enabled;
-       bool disable_ani;
--      bool antenna_diversity;
-+      bool bt_ant_diversity;
- };
- struct sk_buff *ath_rxbuf_alloc(struct ath_common *common,
---- a/drivers/net/wireless/ath/ath9k/antenna.c
-+++ b/drivers/net/wireless/ath/ath9k/antenna.c
-@@ -16,37 +16,119 @@
- #include "ath9k.h"
--static inline bool ath_is_alt_ant_ratio_better(int alt_ratio, int maxdelta,
-+/*
-+ * AR9285
-+ * ======
-+ *
-+ * EEPROM has 2 4-bit fields containing the card configuration.
-+ *
-+ * antdiv_ctl1:
-+ * ------------
-+ * bb_enable_ant_div_lnadiv : 1
-+ * bb_ant_div_alt_gaintb    : 1
-+ * bb_ant_div_main_gaintb   : 1
-+ * bb_enable_ant_fast_div   : 1
-+ *
-+ * antdiv_ctl2:
-+ * -----------
-+ * bb_ant_div_alt_lnaconf  : 2
-+ * bb_ant_div_main_lnaconf : 2
-+ *
-+ * The EEPROM bits are used as follows:
-+ * ------------------------------------
-+ *
-+ * bb_enable_ant_div_lnadiv      - Enable LNA path rx antenna diversity/combining.
-+ *                                 Set in AR_PHY_MULTICHAIN_GAIN_CTL.
-+ *
-+ * bb_ant_div_[alt/main]_gaintb  - 0 -> Antenna config Alt/Main uses gaintable 0
-+ *                                 1 -> Antenna config Alt/Main uses gaintable 1
-+ *                                 Set in AR_PHY_MULTICHAIN_GAIN_CTL.
-+ *
-+ * bb_enable_ant_fast_div        - Enable fast antenna diversity.
-+ *                                 Set in AR_PHY_CCK_DETECT.
-+ *
-+ * bb_ant_div_[alt/main]_lnaconf - Alt/Main LNA diversity/combining input config.
-+ *                                 Set in AR_PHY_MULTICHAIN_GAIN_CTL.
-+ *                                 10=LNA1
-+ *                                 01=LNA2
-+ *                                 11=LNA1+LNA2
-+ *                                 00=LNA1-LNA2
-+ *
-+ * AR9485 / AR9565 / AR9331
-+ * ========================
-+ *
-+ * The same bits are present in the EEPROM, but the location in the
-+ * EEPROM is different (ant_div_control in ar9300_BaseExtension_1).
-+ *
-+ * ant_div_alt_lnaconf      ==> bit 0~1
-+ * ant_div_main_lnaconf     ==> bit 2~3
-+ * ant_div_alt_gaintb       ==> bit 4
-+ * ant_div_main_gaintb      ==> bit 5
-+ * enable_ant_div_lnadiv    ==> bit 6
-+ * enable_ant_fast_div      ==> bit 7
-+ */
-+
-+static inline bool ath_is_alt_ant_ratio_better(struct ath_ant_comb *antcomb,
-+                                             int alt_ratio, int maxdelta,
-                                              int mindelta, int main_rssi_avg,
-                                              int alt_rssi_avg, int pkt_count)
- {
--      return (((alt_ratio >= ATH_ANT_DIV_COMB_ALT_ANT_RATIO2) &&
--               (alt_rssi_avg > main_rssi_avg + maxdelta)) ||
--              (alt_rssi_avg > main_rssi_avg + mindelta)) && (pkt_count > 50);
-+      if (pkt_count <= 50)
-+              return false;
-+
-+      if (alt_rssi_avg > main_rssi_avg + mindelta)
-+              return true;
-+
-+      if (alt_ratio >= antcomb->ant_ratio2 &&
-+          alt_rssi_avg >= antcomb->low_rssi_thresh &&
-+          (alt_rssi_avg > main_rssi_avg + maxdelta))
-+              return true;
-+
-+      return false;
- }
--static inline bool ath_ant_div_comb_alt_check(u8 div_group, int alt_ratio,
--                                            int curr_main_set, int curr_alt_set,
--                                            int alt_rssi_avg, int main_rssi_avg)
-+static inline bool ath_ant_div_comb_alt_check(struct ath_hw_antcomb_conf *conf,
-+                                            struct ath_ant_comb *antcomb,
-+                                            int alt_ratio, int alt_rssi_avg,
-+                                            int main_rssi_avg)
- {
--      bool result = false;
--      switch (div_group) {
-+      bool result, set1, set2;
-+
-+      result = set1 = set2 = false;
-+
-+      if (conf->main_lna_conf == ATH_ANT_DIV_COMB_LNA2 &&
-+          conf->alt_lna_conf == ATH_ANT_DIV_COMB_LNA1)
-+              set1 = true;
-+
-+      if (conf->main_lna_conf == ATH_ANT_DIV_COMB_LNA1 &&
-+          conf->alt_lna_conf == ATH_ANT_DIV_COMB_LNA2)
-+              set2 = true;
-+
-+      switch (conf->div_group) {
-       case 0:
-               if (alt_ratio > ATH_ANT_DIV_COMB_ALT_ANT_RATIO)
-                       result = true;
-               break;
-       case 1:
-       case 2:
--              if ((((curr_main_set == ATH_ANT_DIV_COMB_LNA2) &&
--                    (curr_alt_set == ATH_ANT_DIV_COMB_LNA1) &&
--                    (alt_rssi_avg >= (main_rssi_avg - 5))) ||
--                   ((curr_main_set == ATH_ANT_DIV_COMB_LNA1) &&
--                    (curr_alt_set == ATH_ANT_DIV_COMB_LNA2) &&
--                    (alt_rssi_avg >= (main_rssi_avg - 2)))) &&
--                  (alt_rssi_avg >= 4))
-+              if (alt_rssi_avg < 4 || alt_rssi_avg < antcomb->low_rssi_thresh)
-+                      break;
-+
-+              if ((set1 && (alt_rssi_avg >= (main_rssi_avg - 5))) ||
-+                  (set2 && (alt_rssi_avg >= (main_rssi_avg - 2))) ||
-+                  (alt_ratio > antcomb->ant_ratio))
-                       result = true;
--              else
--                      result = false;
-+
-+              break;
-+      case 3:
-+              if (alt_rssi_avg < 4 || alt_rssi_avg < antcomb->low_rssi_thresh)
-+                      break;
-+
-+              if ((set1 && (alt_rssi_avg >= (main_rssi_avg - 3))) ||
-+                  (set2 && (alt_rssi_avg >= (main_rssi_avg + 3))) ||
-+                  (alt_ratio > antcomb->ant_ratio))
-+                      result = true;
-+
-               break;
-       }
-@@ -108,6 +190,74 @@ static void ath_lnaconf_alt_good_scan(st
-       }
- }
-+static void ath_ant_set_alt_ratio(struct ath_ant_comb *antcomb,
-+                                struct ath_hw_antcomb_conf *conf)
-+{
-+      /* set alt to the conf with maximun ratio */
-+      if (antcomb->first_ratio && antcomb->second_ratio) {
-+              if (antcomb->rssi_second > antcomb->rssi_third) {
-+                      /* first alt*/
-+                      if ((antcomb->first_quick_scan_conf == ATH_ANT_DIV_COMB_LNA1) ||
-+                          (antcomb->first_quick_scan_conf == ATH_ANT_DIV_COMB_LNA2))
-+                              /* Set alt LNA1 or LNA2*/
-+                              if (conf->main_lna_conf == ATH_ANT_DIV_COMB_LNA2)
-+                                      conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+                              else
-+                                      conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+                      else
-+                              /* Set alt to A+B or A-B */
-+                              conf->alt_lna_conf =
-+                                      antcomb->first_quick_scan_conf;
-+              } else if ((antcomb->second_quick_scan_conf == ATH_ANT_DIV_COMB_LNA1) ||
-+                         (antcomb->second_quick_scan_conf == ATH_ANT_DIV_COMB_LNA2)) {
-+                      /* Set alt LNA1 or LNA2 */
-+                      if (conf->main_lna_conf == ATH_ANT_DIV_COMB_LNA2)
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+                      else
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+              } else {
-+                      /* Set alt to A+B or A-B */
-+                      conf->alt_lna_conf = antcomb->second_quick_scan_conf;
-+              }
-+      } else if (antcomb->first_ratio) {
-+              /* first alt */
-+              if ((antcomb->first_quick_scan_conf == ATH_ANT_DIV_COMB_LNA1) ||
-+                  (antcomb->first_quick_scan_conf == ATH_ANT_DIV_COMB_LNA2))
-+                      /* Set alt LNA1 or LNA2 */
-+                      if (conf->main_lna_conf == ATH_ANT_DIV_COMB_LNA2)
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+                      else
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+              else
-+                      /* Set alt to A+B or A-B */
-+                      conf->alt_lna_conf = antcomb->first_quick_scan_conf;
-+      } else if (antcomb->second_ratio) {
-+              /* second alt */
-+              if ((antcomb->second_quick_scan_conf == ATH_ANT_DIV_COMB_LNA1) ||
-+                  (antcomb->second_quick_scan_conf == ATH_ANT_DIV_COMB_LNA2))
-+                      /* Set alt LNA1 or LNA2 */
-+                      if (conf->main_lna_conf == ATH_ANT_DIV_COMB_LNA2)
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+                      else
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+              else
-+                      /* Set alt to A+B or A-B */
-+                      conf->alt_lna_conf = antcomb->second_quick_scan_conf;
-+      } else {
-+              /* main is largest */
-+              if ((antcomb->main_conf == ATH_ANT_DIV_COMB_LNA1) ||
-+                  (antcomb->main_conf == ATH_ANT_DIV_COMB_LNA2))
-+                      /* Set alt LNA1 or LNA2 */
-+                      if (conf->main_lna_conf == ATH_ANT_DIV_COMB_LNA2)
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+                      else
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+              else
-+                      /* Set alt to A+B or A-B */
-+                      conf->alt_lna_conf = antcomb->main_conf;
-+      }
-+}
-+
- static void ath_select_ant_div_from_quick_scan(struct ath_ant_comb *antcomb,
-                                      struct ath_hw_antcomb_conf *div_ant_conf,
-                                      int main_rssi_avg, int alt_rssi_avg,
-@@ -129,7 +279,7 @@ static void ath_select_ant_div_from_quic
-               if (antcomb->main_conf == ATH_ANT_DIV_COMB_LNA1) {
-                       /* main is LNA1 */
--                      if (ath_is_alt_ant_ratio_better(alt_ratio,
-+                      if (ath_is_alt_ant_ratio_better(antcomb, alt_ratio,
-                                               ATH_ANT_DIV_COMB_LNA1_DELTA_HI,
-                                               ATH_ANT_DIV_COMB_LNA1_DELTA_LOW,
-                                               main_rssi_avg, alt_rssi_avg,
-@@ -138,7 +288,7 @@ static void ath_select_ant_div_from_quic
-                       else
-                               antcomb->first_ratio = false;
-               } else if (antcomb->main_conf == ATH_ANT_DIV_COMB_LNA2) {
--                      if (ath_is_alt_ant_ratio_better(alt_ratio,
-+                      if (ath_is_alt_ant_ratio_better(antcomb, alt_ratio,
-                                               ATH_ANT_DIV_COMB_LNA1_DELTA_MID,
-                                               ATH_ANT_DIV_COMB_LNA1_DELTA_LOW,
-                                               main_rssi_avg, alt_rssi_avg,
-@@ -147,11 +297,11 @@ static void ath_select_ant_div_from_quic
-                       else
-                               antcomb->first_ratio = false;
-               } else {
--                      if ((((alt_ratio >= ATH_ANT_DIV_COMB_ALT_ANT_RATIO2) &&
--                            (alt_rssi_avg > main_rssi_avg +
--                             ATH_ANT_DIV_COMB_LNA1_DELTA_HI)) ||
--                           (alt_rssi_avg > main_rssi_avg)) &&
--                          (antcomb->total_pkt_count > 50))
-+                      if (ath_is_alt_ant_ratio_better(antcomb, alt_ratio,
-+                                              ATH_ANT_DIV_COMB_LNA1_DELTA_HI,
-+                                              0,
-+                                              main_rssi_avg, alt_rssi_avg,
-+                                              antcomb->total_pkt_count))
-                               antcomb->first_ratio = true;
-                       else
-                               antcomb->first_ratio = false;
-@@ -164,17 +314,21 @@ static void ath_select_ant_div_from_quic
-               antcomb->rssi_first = main_rssi_avg;
-               antcomb->rssi_third = alt_rssi_avg;
--              if (antcomb->second_quick_scan_conf == ATH_ANT_DIV_COMB_LNA1)
-+              switch(antcomb->second_quick_scan_conf) {
-+              case ATH_ANT_DIV_COMB_LNA1:
-                       antcomb->rssi_lna1 = alt_rssi_avg;
--              else if (antcomb->second_quick_scan_conf ==
--                       ATH_ANT_DIV_COMB_LNA2)
-+                      break;
-+              case ATH_ANT_DIV_COMB_LNA2:
-                       antcomb->rssi_lna2 = alt_rssi_avg;
--              else if (antcomb->second_quick_scan_conf ==
--                       ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2) {
-+                      break;
-+              case ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2:
-                       if (antcomb->main_conf == ATH_ANT_DIV_COMB_LNA2)
-                               antcomb->rssi_lna2 = main_rssi_avg;
-                       else if (antcomb->main_conf == ATH_ANT_DIV_COMB_LNA1)
-                               antcomb->rssi_lna1 = main_rssi_avg;
-+                      break;
-+              default:
-+                      break;
-               }
-               if (antcomb->rssi_lna2 > antcomb->rssi_lna1 +
-@@ -184,7 +338,7 @@ static void ath_select_ant_div_from_quic
-                       div_ant_conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-               if (antcomb->main_conf == ATH_ANT_DIV_COMB_LNA1) {
--                      if (ath_is_alt_ant_ratio_better(alt_ratio,
-+                      if (ath_is_alt_ant_ratio_better(antcomb, alt_ratio,
-                                               ATH_ANT_DIV_COMB_LNA1_DELTA_HI,
-                                               ATH_ANT_DIV_COMB_LNA1_DELTA_LOW,
-                                               main_rssi_avg, alt_rssi_avg,
-@@ -193,7 +347,7 @@ static void ath_select_ant_div_from_quic
-                       else
-                               antcomb->second_ratio = false;
-               } else if (antcomb->main_conf == ATH_ANT_DIV_COMB_LNA2) {
--                      if (ath_is_alt_ant_ratio_better(alt_ratio,
-+                      if (ath_is_alt_ant_ratio_better(antcomb, alt_ratio,
-                                               ATH_ANT_DIV_COMB_LNA1_DELTA_MID,
-                                               ATH_ANT_DIV_COMB_LNA1_DELTA_LOW,
-                                               main_rssi_avg, alt_rssi_avg,
-@@ -202,105 +356,18 @@ static void ath_select_ant_div_from_quic
-                       else
-                               antcomb->second_ratio = false;
-               } else {
--                      if ((((alt_ratio >= ATH_ANT_DIV_COMB_ALT_ANT_RATIO2) &&
--                            (alt_rssi_avg > main_rssi_avg +
--                             ATH_ANT_DIV_COMB_LNA1_DELTA_HI)) ||
--                           (alt_rssi_avg > main_rssi_avg)) &&
--                          (antcomb->total_pkt_count > 50))
-+                      if (ath_is_alt_ant_ratio_better(antcomb, alt_ratio,
-+                                              ATH_ANT_DIV_COMB_LNA1_DELTA_HI,
-+                                              0,
-+                                              main_rssi_avg, alt_rssi_avg,
-+                                              antcomb->total_pkt_count))
-                               antcomb->second_ratio = true;
-                       else
-                               antcomb->second_ratio = false;
-               }
--              /* set alt to the conf with maximun ratio */
--              if (antcomb->first_ratio && antcomb->second_ratio) {
--                      if (antcomb->rssi_second > antcomb->rssi_third) {
--                              /* first alt*/
--                              if ((antcomb->first_quick_scan_conf ==
--                                  ATH_ANT_DIV_COMB_LNA1) ||
--                                  (antcomb->first_quick_scan_conf ==
--                                  ATH_ANT_DIV_COMB_LNA2))
--                                      /* Set alt LNA1 or LNA2*/
--                                      if (div_ant_conf->main_lna_conf ==
--                                          ATH_ANT_DIV_COMB_LNA2)
--                                              div_ant_conf->alt_lna_conf =
--                                                      ATH_ANT_DIV_COMB_LNA1;
--                                      else
--                                              div_ant_conf->alt_lna_conf =
--                                                      ATH_ANT_DIV_COMB_LNA2;
--                              else
--                                      /* Set alt to A+B or A-B */
--                                      div_ant_conf->alt_lna_conf =
--                                              antcomb->first_quick_scan_conf;
--                      } else if ((antcomb->second_quick_scan_conf ==
--                                 ATH_ANT_DIV_COMB_LNA1) ||
--                                 (antcomb->second_quick_scan_conf ==
--                                 ATH_ANT_DIV_COMB_LNA2)) {
--                              /* Set alt LNA1 or LNA2 */
--                              if (div_ant_conf->main_lna_conf ==
--                                  ATH_ANT_DIV_COMB_LNA2)
--                                      div_ant_conf->alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1;
--                              else
--                                      div_ant_conf->alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA2;
--                      } else {
--                              /* Set alt to A+B or A-B */
--                              div_ant_conf->alt_lna_conf =
--                                      antcomb->second_quick_scan_conf;
--                      }
--              } else if (antcomb->first_ratio) {
--                      /* first alt */
--                      if ((antcomb->first_quick_scan_conf ==
--                          ATH_ANT_DIV_COMB_LNA1) ||
--                          (antcomb->first_quick_scan_conf ==
--                          ATH_ANT_DIV_COMB_LNA2))
--                                      /* Set alt LNA1 or LNA2 */
--                              if (div_ant_conf->main_lna_conf ==
--                                  ATH_ANT_DIV_COMB_LNA2)
--                                      div_ant_conf->alt_lna_conf =
--                                                      ATH_ANT_DIV_COMB_LNA1;
--                              else
--                                      div_ant_conf->alt_lna_conf =
--                                                      ATH_ANT_DIV_COMB_LNA2;
--                      else
--                              /* Set alt to A+B or A-B */
--                              div_ant_conf->alt_lna_conf =
--                                              antcomb->first_quick_scan_conf;
--              } else if (antcomb->second_ratio) {
--                              /* second alt */
--                      if ((antcomb->second_quick_scan_conf ==
--                          ATH_ANT_DIV_COMB_LNA1) ||
--                          (antcomb->second_quick_scan_conf ==
--                          ATH_ANT_DIV_COMB_LNA2))
--                              /* Set alt LNA1 or LNA2 */
--                              if (div_ant_conf->main_lna_conf ==
--                                  ATH_ANT_DIV_COMB_LNA2)
--                                      div_ant_conf->alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1;
--                              else
--                                      div_ant_conf->alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA2;
--                      else
--                              /* Set alt to A+B or A-B */
--                              div_ant_conf->alt_lna_conf =
--                                              antcomb->second_quick_scan_conf;
--              } else {
--                      /* main is largest */
--                      if ((antcomb->main_conf == ATH_ANT_DIV_COMB_LNA1) ||
--                          (antcomb->main_conf == ATH_ANT_DIV_COMB_LNA2))
--                              /* Set alt LNA1 or LNA2 */
--                              if (div_ant_conf->main_lna_conf ==
--                                  ATH_ANT_DIV_COMB_LNA2)
--                                      div_ant_conf->alt_lna_conf =
--                                                      ATH_ANT_DIV_COMB_LNA1;
--                              else
--                                      div_ant_conf->alt_lna_conf =
--                                                      ATH_ANT_DIV_COMB_LNA2;
--                      else
--                              /* Set alt to A+B or A-B */
--                              div_ant_conf->alt_lna_conf = antcomb->main_conf;
--              }
-+              ath_ant_set_alt_ratio(antcomb, div_ant_conf);
-+
-               break;
-       default:
-               break;
-@@ -430,8 +497,7 @@ static void ath_ant_div_conf_fast_divbia
-                       ant_conf->fast_div_bias = 0x1;
-                       break;
-               case 0x10: /* LNA2 A-B */
--                      if (!(antcomb->scan) &&
--                              (alt_ratio > ATH_ANT_DIV_COMB_ALT_ANT_RATIO))
-+                      if (!antcomb->scan && (alt_ratio > antcomb->ant_ratio))
-                               ant_conf->fast_div_bias = 0x1;
-                       else
-                               ant_conf->fast_div_bias = 0x2;
-@@ -440,15 +506,13 @@ static void ath_ant_div_conf_fast_divbia
-                       ant_conf->fast_div_bias = 0x1;
-                       break;
-               case 0x13: /* LNA2 A+B */
--                      if (!(antcomb->scan) &&
--                              (alt_ratio > ATH_ANT_DIV_COMB_ALT_ANT_RATIO))
-+                      if (!antcomb->scan && (alt_ratio > antcomb->ant_ratio))
-                               ant_conf->fast_div_bias = 0x1;
-                       else
-                               ant_conf->fast_div_bias = 0x2;
-                       break;
-               case 0x20: /* LNA1 A-B */
--                      if (!(antcomb->scan) &&
--                              (alt_ratio > ATH_ANT_DIV_COMB_ALT_ANT_RATIO))
-+                      if (!antcomb->scan && (alt_ratio > antcomb->ant_ratio))
-                               ant_conf->fast_div_bias = 0x1;
-                       else
-                               ant_conf->fast_div_bias = 0x2;
-@@ -457,8 +521,7 @@ static void ath_ant_div_conf_fast_divbia
-                       ant_conf->fast_div_bias = 0x1;
-                       break;
-               case 0x23: /* LNA1 A+B */
--                      if (!(antcomb->scan) &&
--                              (alt_ratio > ATH_ANT_DIV_COMB_ALT_ANT_RATIO))
-+                      if (!antcomb->scan && (alt_ratio > antcomb->ant_ratio))
-                               ant_conf->fast_div_bias = 0x1;
-                       else
-                               ant_conf->fast_div_bias = 0x2;
-@@ -475,6 +538,9 @@ static void ath_ant_div_conf_fast_divbia
-               default:
-                       break;
-               }
-+
-+              if (antcomb->fast_div_bias)
-+                      ant_conf->fast_div_bias = antcomb->fast_div_bias;
-       } else if (ant_conf->div_group == 3) {
-               switch ((ant_conf->main_lna_conf << 4) |
-                       ant_conf->alt_lna_conf) {
-@@ -540,6 +606,138 @@ static void ath_ant_div_conf_fast_divbia
-       }
- }
-+static void ath_ant_try_scan(struct ath_ant_comb *antcomb,
-+                           struct ath_hw_antcomb_conf *conf,
-+                           int curr_alt_set, int alt_rssi_avg,
-+                           int main_rssi_avg)
-+{
-+      switch (curr_alt_set) {
-+      case ATH_ANT_DIV_COMB_LNA2:
-+              antcomb->rssi_lna2 = alt_rssi_avg;
-+              antcomb->rssi_lna1 = main_rssi_avg;
-+              antcomb->scan = true;
-+              /* set to A+B */
-+              conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2;
-+              break;
-+      case ATH_ANT_DIV_COMB_LNA1:
-+              antcomb->rssi_lna1 = alt_rssi_avg;
-+              antcomb->rssi_lna2 = main_rssi_avg;
-+              antcomb->scan = true;
-+              /* set to A+B */
-+              conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2;
-+              break;
-+      case ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2:
-+              antcomb->rssi_add = alt_rssi_avg;
-+              antcomb->scan = true;
-+              /* set to A-B */
-+              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2;
-+              break;
-+      case ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2:
-+              antcomb->rssi_sub = alt_rssi_avg;
-+              antcomb->scan = false;
-+              if (antcomb->rssi_lna2 >
-+                  (antcomb->rssi_lna1 + ATH_ANT_DIV_COMB_LNA1_LNA2_SWITCH_DELTA)) {
-+                      /* use LNA2 as main LNA */
-+                      if ((antcomb->rssi_add > antcomb->rssi_lna1) &&
-+                          (antcomb->rssi_add > antcomb->rssi_sub)) {
-+                              /* set to A+B */
-+                              conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2;
-+                      } else if (antcomb->rssi_sub >
-+                                 antcomb->rssi_lna1) {
-+                              /* set to A-B */
-+                              conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2;
-+                      } else {
-+                              /* set to LNA1 */
-+                              conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+                      }
-+              } else {
-+                      /* use LNA1 as main LNA */
-+                      if ((antcomb->rssi_add > antcomb->rssi_lna2) &&
-+                          (antcomb->rssi_add > antcomb->rssi_sub)) {
-+                              /* set to A+B */
-+                              conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2;
-+                      } else if (antcomb->rssi_sub >
-+                                 antcomb->rssi_lna1) {
-+                              /* set to A-B */
-+                              conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2;
-+                      } else {
-+                              /* set to LNA2 */
-+                              conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+                              conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+                      }
-+              }
-+              break;
-+      default:
-+              break;
-+      }
-+}
-+
-+static bool ath_ant_try_switch(struct ath_hw_antcomb_conf *div_ant_conf,
-+                             struct ath_ant_comb *antcomb,
-+                             int alt_ratio, int alt_rssi_avg,
-+                             int main_rssi_avg, int curr_main_set,
-+                             int curr_alt_set)
-+{
-+      bool ret = false;
-+
-+      if (ath_ant_div_comb_alt_check(div_ant_conf, antcomb, alt_ratio,
-+                                     alt_rssi_avg, main_rssi_avg)) {
-+              if (curr_alt_set == ATH_ANT_DIV_COMB_LNA2) {
-+                      /*
-+                       * Switch main and alt LNA.
-+                       */
-+                      div_ant_conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+                      div_ant_conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+              } else if (curr_alt_set == ATH_ANT_DIV_COMB_LNA1) {
-+                      div_ant_conf->main_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+                      div_ant_conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+              }
-+
-+              ret = true;
-+      } else if ((curr_alt_set != ATH_ANT_DIV_COMB_LNA1) &&
-+                 (curr_alt_set != ATH_ANT_DIV_COMB_LNA2)) {
-+              /*
-+                Set alt to another LNA.
-+              */
-+              if (curr_main_set == ATH_ANT_DIV_COMB_LNA2)
-+                      div_ant_conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA1;
-+              else if (curr_main_set == ATH_ANT_DIV_COMB_LNA1)
-+                      div_ant_conf->alt_lna_conf = ATH_ANT_DIV_COMB_LNA2;
-+
-+              ret = true;
-+      }
-+
-+      return ret;
-+}
-+
-+static bool ath_ant_short_scan_check(struct ath_ant_comb *antcomb)
-+{
-+      int alt_ratio;
-+
-+      if (!antcomb->scan || !antcomb->alt_good)
-+              return false;
-+
-+      if (time_after(jiffies, antcomb->scan_start_time +
-+                     msecs_to_jiffies(ATH_ANT_DIV_COMB_SHORT_SCAN_INTR)))
-+              return true;
-+
-+      if (antcomb->total_pkt_count == ATH_ANT_DIV_COMB_SHORT_SCAN_PKTCOUNT) {
-+              alt_ratio = ((antcomb->alt_recv_cnt * 100) /
-+                           antcomb->total_pkt_count);
-+              if (alt_ratio < antcomb->ant_ratio)
-+                      return true;
-+      }
-+
-+      return false;
-+}
-+
- void ath_ant_comb_scan(struct ath_softc *sc, struct ath_rx_status *rs)
- {
-       struct ath_hw_antcomb_conf div_ant_conf;
-@@ -549,41 +747,46 @@ void ath_ant_comb_scan(struct ath_softc 
-       int main_rssi = rs->rs_rssi_ctl0;
-       int alt_rssi = rs->rs_rssi_ctl1;
-       int rx_ant_conf,  main_ant_conf;
--      bool short_scan = false;
-+      bool short_scan = false, ret;
-       rx_ant_conf = (rs->rs_rssi_ctl2 >> ATH_ANT_RX_CURRENT_SHIFT) &
-                      ATH_ANT_RX_MASK;
-       main_ant_conf = (rs->rs_rssi_ctl2 >> ATH_ANT_RX_MAIN_SHIFT) &
-                        ATH_ANT_RX_MASK;
-+      if (alt_rssi >= antcomb->low_rssi_thresh) {
-+              antcomb->ant_ratio = ATH_ANT_DIV_COMB_ALT_ANT_RATIO;
-+              antcomb->ant_ratio2 = ATH_ANT_DIV_COMB_ALT_ANT_RATIO2;
-+      } else {
-+              antcomb->ant_ratio = ATH_ANT_DIV_COMB_ALT_ANT_RATIO_LOW_RSSI;
-+              antcomb->ant_ratio2 = ATH_ANT_DIV_COMB_ALT_ANT_RATIO2_LOW_RSSI;
-+      }
-+
-       /* Record packet only when both main_rssi and  alt_rssi is positive */
-       if (main_rssi > 0 && alt_rssi > 0) {
-               antcomb->total_pkt_count++;
-               antcomb->main_total_rssi += main_rssi;
-               antcomb->alt_total_rssi  += alt_rssi;
-+
-               if (main_ant_conf == rx_ant_conf)
-                       antcomb->main_recv_cnt++;
-               else
-                       antcomb->alt_recv_cnt++;
-       }
--      /* Short scan check */
--      if (antcomb->scan && antcomb->alt_good) {
--              if (time_after(jiffies, antcomb->scan_start_time +
--                  msecs_to_jiffies(ATH_ANT_DIV_COMB_SHORT_SCAN_INTR)))
--                      short_scan = true;
--              else
--                      if (antcomb->total_pkt_count ==
--                          ATH_ANT_DIV_COMB_SHORT_SCAN_PKTCOUNT) {
--                              alt_ratio = ((antcomb->alt_recv_cnt * 100) /
--                                          antcomb->total_pkt_count);
--                              if (alt_ratio < ATH_ANT_DIV_COMB_ALT_ANT_RATIO)
--                                      short_scan = true;
--                      }
-+      if (main_ant_conf == rx_ant_conf) {
-+              ANT_STAT_INC(ANT_MAIN, recv_cnt);
-+              ANT_LNA_INC(ANT_MAIN, rx_ant_conf);
-+      } else {
-+              ANT_STAT_INC(ANT_ALT, recv_cnt);
-+              ANT_LNA_INC(ANT_ALT, rx_ant_conf);
-       }
-+      /* Short scan check */
-+      short_scan = ath_ant_short_scan_check(antcomb);
-+
-       if (((antcomb->total_pkt_count < ATH_ANT_DIV_COMB_MAX_PKTCOUNT) ||
--          rs->rs_moreaggr) && !short_scan)
-+           rs->rs_moreaggr) && !short_scan)
-               return;
-       if (antcomb->total_pkt_count) {
-@@ -595,15 +798,13 @@ void ath_ant_comb_scan(struct ath_softc 
-                                antcomb->total_pkt_count);
-       }
--
-       ath9k_hw_antdiv_comb_conf_get(sc->sc_ah, &div_ant_conf);
-       curr_alt_set = div_ant_conf.alt_lna_conf;
-       curr_main_set = div_ant_conf.main_lna_conf;
--
-       antcomb->count++;
-       if (antcomb->count == ATH_ANT_DIV_COMB_MAX_COUNT) {
--              if (alt_ratio > ATH_ANT_DIV_COMB_ALT_ANT_RATIO) {
-+              if (alt_ratio > antcomb->ant_ratio) {
-                       ath_lnaconf_alt_good_scan(antcomb, div_ant_conf,
-                                                 main_rssi_avg);
-                       antcomb->alt_good = true;
-@@ -617,153 +818,47 @@ void ath_ant_comb_scan(struct ath_softc 
-       }
-       if (!antcomb->scan) {
--              if (ath_ant_div_comb_alt_check(div_ant_conf.div_group,
--                                      alt_ratio, curr_main_set, curr_alt_set,
--                                      alt_rssi_avg, main_rssi_avg)) {
--                      if (curr_alt_set == ATH_ANT_DIV_COMB_LNA2) {
--                              /* Switch main and alt LNA */
--                              div_ant_conf.main_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA2;
--                              div_ant_conf.alt_lna_conf  =
--                                              ATH_ANT_DIV_COMB_LNA1;
--                      } else if (curr_alt_set == ATH_ANT_DIV_COMB_LNA1) {
--                              div_ant_conf.main_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1;
--                              div_ant_conf.alt_lna_conf  =
--                                              ATH_ANT_DIV_COMB_LNA2;
--                      }
--
--                      goto div_comb_done;
--              } else if ((curr_alt_set != ATH_ANT_DIV_COMB_LNA1) &&
--                         (curr_alt_set != ATH_ANT_DIV_COMB_LNA2)) {
--                      /* Set alt to another LNA */
--                      if (curr_main_set == ATH_ANT_DIV_COMB_LNA2)
--                              div_ant_conf.alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1;
--                      else if (curr_main_set == ATH_ANT_DIV_COMB_LNA1)
--                              div_ant_conf.alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA2;
--
--                      goto div_comb_done;
--              }
--
--              if ((alt_rssi_avg < (main_rssi_avg +
--                                   div_ant_conf.lna1_lna2_delta)))
-+              ret = ath_ant_try_switch(&div_ant_conf, antcomb, alt_ratio,
-+                                       alt_rssi_avg, main_rssi_avg,
-+                                       curr_main_set, curr_alt_set);
-+              if (ret)
-                       goto div_comb_done;
-       }
-+      if (!antcomb->scan &&
-+          (alt_rssi_avg < (main_rssi_avg + div_ant_conf.lna1_lna2_delta)))
-+              goto div_comb_done;
-+
-       if (!antcomb->scan_not_start) {
--              switch (curr_alt_set) {
--              case ATH_ANT_DIV_COMB_LNA2:
--                      antcomb->rssi_lna2 = alt_rssi_avg;
--                      antcomb->rssi_lna1 = main_rssi_avg;
--                      antcomb->scan = true;
--                      /* set to A+B */
--                      div_ant_conf.main_lna_conf =
--                              ATH_ANT_DIV_COMB_LNA1;
--                      div_ant_conf.alt_lna_conf  =
--                              ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2;
--                      break;
--              case ATH_ANT_DIV_COMB_LNA1:
--                      antcomb->rssi_lna1 = alt_rssi_avg;
--                      antcomb->rssi_lna2 = main_rssi_avg;
--                      antcomb->scan = true;
--                      /* set to A+B */
--                      div_ant_conf.main_lna_conf = ATH_ANT_DIV_COMB_LNA2;
--                      div_ant_conf.alt_lna_conf  =
--                              ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2;
--                      break;
--              case ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2:
--                      antcomb->rssi_add = alt_rssi_avg;
--                      antcomb->scan = true;
--                      /* set to A-B */
--                      div_ant_conf.alt_lna_conf =
--                              ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2;
--                      break;
--              case ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2:
--                      antcomb->rssi_sub = alt_rssi_avg;
--                      antcomb->scan = false;
--                      if (antcomb->rssi_lna2 >
--                          (antcomb->rssi_lna1 +
--                          ATH_ANT_DIV_COMB_LNA1_LNA2_SWITCH_DELTA)) {
--                              /* use LNA2 as main LNA */
--                              if ((antcomb->rssi_add > antcomb->rssi_lna1) &&
--                                  (antcomb->rssi_add > antcomb->rssi_sub)) {
--                                      /* set to A+B */
--                                      div_ant_conf.main_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA2;
--                                      div_ant_conf.alt_lna_conf  =
--                                              ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2;
--                              } else if (antcomb->rssi_sub >
--                                         antcomb->rssi_lna1) {
--                                      /* set to A-B */
--                                      div_ant_conf.main_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA2;
--                                      div_ant_conf.alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2;
--                              } else {
--                                      /* set to LNA1 */
--                                      div_ant_conf.main_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA2;
--                                      div_ant_conf.alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1;
--                              }
--                      } else {
--                              /* use LNA1 as main LNA */
--                              if ((antcomb->rssi_add > antcomb->rssi_lna2) &&
--                                  (antcomb->rssi_add > antcomb->rssi_sub)) {
--                                      /* set to A+B */
--                                      div_ant_conf.main_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1;
--                                      div_ant_conf.alt_lna_conf  =
--                                              ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2;
--                              } else if (antcomb->rssi_sub >
--                                         antcomb->rssi_lna1) {
--                                      /* set to A-B */
--                                      div_ant_conf.main_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1;
--                                      div_ant_conf.alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2;
--                              } else {
--                                      /* set to LNA2 */
--                                      div_ant_conf.main_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1;
--                                      div_ant_conf.alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA2;
--                              }
--                      }
--                      break;
--              default:
--                      break;
--              }
-+              ath_ant_try_scan(antcomb, &div_ant_conf, curr_alt_set,
-+                               alt_rssi_avg, main_rssi_avg);
-       } else {
-               if (!antcomb->alt_good) {
-                       antcomb->scan_not_start = false;
-                       /* Set alt to another LNA */
-                       if (curr_main_set == ATH_ANT_DIV_COMB_LNA2) {
-                               div_ant_conf.main_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA2;
-+                                      ATH_ANT_DIV_COMB_LNA2;
-                               div_ant_conf.alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1;
-+                                      ATH_ANT_DIV_COMB_LNA1;
-                       } else if (curr_main_set == ATH_ANT_DIV_COMB_LNA1) {
-                               div_ant_conf.main_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA1;
-+                                      ATH_ANT_DIV_COMB_LNA1;
-                               div_ant_conf.alt_lna_conf =
--                                              ATH_ANT_DIV_COMB_LNA2;
-+                                      ATH_ANT_DIV_COMB_LNA2;
-                       }
-                       goto div_comb_done;
-               }
-+              ath_select_ant_div_from_quick_scan(antcomb, &div_ant_conf,
-+                                                 main_rssi_avg, alt_rssi_avg,
-+                                                 alt_ratio);
-+              antcomb->quick_scan_cnt++;
-       }
--      ath_select_ant_div_from_quick_scan(antcomb, &div_ant_conf,
--                                         main_rssi_avg, alt_rssi_avg,
--                                         alt_ratio);
--
--      antcomb->quick_scan_cnt++;
--
- div_comb_done:
-       ath_ant_div_conf_fast_divbias(&div_ant_conf, antcomb, alt_ratio);
-       ath9k_hw_antdiv_comb_conf_set(sc->sc_ah, &div_ant_conf);
-+      ath9k_debug_stat_ant(sc, &div_ant_conf, main_rssi_avg, alt_rssi_avg);
-       antcomb->scan_start_time = jiffies;
-       antcomb->total_pkt_count = 0;
-@@ -772,26 +867,3 @@ div_comb_done:
-       antcomb->main_recv_cnt = 0;
-       antcomb->alt_recv_cnt = 0;
- }
--
--void ath_ant_comb_update(struct ath_softc *sc)
--{
--      struct ath_hw *ah = sc->sc_ah;
--      struct ath_common *common = ath9k_hw_common(ah);
--      struct ath_hw_antcomb_conf div_ant_conf;
--      u8 lna_conf;
--
--      ath9k_hw_antdiv_comb_conf_get(ah, &div_ant_conf);
--
--      if (sc->ant_rx == 1)
--              lna_conf = ATH_ANT_DIV_COMB_LNA1;
--      else
--              lna_conf = ATH_ANT_DIV_COMB_LNA2;
--
--      div_ant_conf.main_lna_conf = lna_conf;
--      div_ant_conf.alt_lna_conf = lna_conf;
--
--      ath9k_hw_antdiv_comb_conf_set(ah, &div_ant_conf);
--
--      if (common->antenna_diversity)
--              ath9k_hw_antctrl_shared_chain_lnadiv(ah, true);
--}
---- a/drivers/net/wireless/ath/ath9k/ar5008_phy.c
-+++ b/drivers/net/wireless/ath/ath9k/ar5008_phy.c
-@@ -610,7 +610,15 @@ static void ar5008_hw_override_ini(struc
-       REG_SET_BIT(ah, AR_DIAG_SW, (AR_DIAG_RX_DIS | AR_DIAG_RX_ABORT));
-       if (AR_SREV_9280_20_OR_LATER(ah)) {
--              val = REG_READ(ah, AR_PCU_MISC_MODE2);
-+              /*
-+               * For AR9280 and above, there is a new feature that allows
-+               * Multicast search based on both MAC Address and Key ID.
-+               * By default, this feature is enabled. But since the driver
-+               * is not using this feature, we switch it off; otherwise
-+               * multicast search based on MAC addr only will fail.
-+               */
-+              val = REG_READ(ah, AR_PCU_MISC_MODE2) &
-+                      (~AR_ADHOC_MCAST_KEYID_ENABLE);
-               if (!AR_SREV_9271(ah))
-                       val &= ~AR_PCU_MISC_MODE2_HWWAR1;
---- a/drivers/net/wireless/ath/ath9k/ar9002_phy.c
-+++ b/drivers/net/wireless/ath/ath9k/ar9002_phy.c
-@@ -555,6 +555,69 @@ static void ar9002_hw_antdiv_comb_conf_s
-       REG_WRITE(ah, AR_PHY_MULTICHAIN_GAIN_CTL, regval);
- }
-+#ifdef CPTCFG_ATH9K_BTCOEX_SUPPORT
-+
-+static void ar9002_hw_set_bt_ant_diversity(struct ath_hw *ah, bool enable)
-+{
-+      struct ath_btcoex_hw *btcoex = &ah->btcoex_hw;
-+      u8 antdiv_ctrl1, antdiv_ctrl2;
-+      u32 regval;
-+
-+      if (enable) {
-+              antdiv_ctrl1 = ATH_BT_COEX_ANTDIV_CONTROL1_ENABLE;
-+              antdiv_ctrl2 = ATH_BT_COEX_ANTDIV_CONTROL2_ENABLE;
-+
-+              /*
-+               * Don't disable BT ant to allow BB to control SWCOM.
-+               */
-+              btcoex->bt_coex_mode2 &= (~(AR_BT_DISABLE_BT_ANT));
-+              REG_WRITE(ah, AR_BT_COEX_MODE2, btcoex->bt_coex_mode2);
-+
-+              REG_WRITE(ah, AR_PHY_SWITCH_COM, ATH_BT_COEX_ANT_DIV_SWITCH_COM);
-+              REG_RMW(ah, AR_PHY_SWITCH_CHAIN_0, 0, 0xf0000000);
-+      } else {
-+              /*
-+               * Disable antenna diversity, use LNA1 only.
-+               */
-+              antdiv_ctrl1 = ATH_BT_COEX_ANTDIV_CONTROL1_FIXED_A;
-+              antdiv_ctrl2 = ATH_BT_COEX_ANTDIV_CONTROL2_FIXED_A;
-+
-+              /*
-+               * Disable BT Ant. to allow concurrent BT and WLAN receive.
-+               */
-+              btcoex->bt_coex_mode2 |= AR_BT_DISABLE_BT_ANT;
-+              REG_WRITE(ah, AR_BT_COEX_MODE2, btcoex->bt_coex_mode2);
-+
-+              /*
-+               * Program SWCOM table to make sure RF switch always parks
-+               * at BT side.
-+               */
-+              REG_WRITE(ah, AR_PHY_SWITCH_COM, 0);
-+              REG_RMW(ah, AR_PHY_SWITCH_CHAIN_0, 0, 0xf0000000);
-+      }
-+
-+      regval = REG_READ(ah, AR_PHY_MULTICHAIN_GAIN_CTL);
-+      regval &= (~(AR_PHY_9285_ANT_DIV_CTL_ALL));
-+        /*
-+       * Clear ant_fast_div_bias [14:9] since for WB195,
-+       * the main LNA is always LNA1.
-+       */
-+      regval &= (~(AR_PHY_9285_FAST_DIV_BIAS));
-+      regval |= SM(antdiv_ctrl1, AR_PHY_9285_ANT_DIV_CTL);
-+      regval |= SM(antdiv_ctrl2, AR_PHY_9285_ANT_DIV_ALT_LNACONF);
-+      regval |= SM((antdiv_ctrl2 >> 2), AR_PHY_9285_ANT_DIV_MAIN_LNACONF);
-+      regval |= SM((antdiv_ctrl1 >> 1), AR_PHY_9285_ANT_DIV_ALT_GAINTB);
-+      regval |= SM((antdiv_ctrl1 >> 2), AR_PHY_9285_ANT_DIV_MAIN_GAINTB);
-+      REG_WRITE(ah, AR_PHY_MULTICHAIN_GAIN_CTL, regval);
-+
-+      regval = REG_READ(ah, AR_PHY_CCK_DETECT);
-+      regval &= (~AR_PHY_CCK_DETECT_BB_ENABLE_ANT_FAST_DIV);
-+      regval |= SM((antdiv_ctrl1 >> 3), AR_PHY_CCK_DETECT_BB_ENABLE_ANT_FAST_DIV);
-+      REG_WRITE(ah, AR_PHY_CCK_DETECT, regval);
-+}
-+
-+#endif
-+
- static void ar9002_hw_spectral_scan_config(struct ath_hw *ah,
-                                   struct ath_spec_scan *param)
- {
-@@ -634,5 +697,9 @@ void ar9002_hw_attach_phy_ops(struct ath
-       ops->spectral_scan_trigger = ar9002_hw_spectral_scan_trigger;
-       ops->spectral_scan_wait = ar9002_hw_spectral_scan_wait;
-+#ifdef CPTCFG_ATH9K_BTCOEX_SUPPORT
-+      ops->set_bt_ant_diversity = ar9002_hw_set_bt_ant_diversity;
-+#endif
-+
-       ar9002_hw_set_nf_limits(ah);
- }
---- a/drivers/net/wireless/ath/ath9k/ar9002_phy.h
-+++ b/drivers/net/wireless/ath/ath9k/ar9002_phy.h
-@@ -317,13 +317,15 @@
- #define AR_PHY_9285_ANT_DIV_ALT_GAINTB_S    29
- #define AR_PHY_9285_ANT_DIV_MAIN_GAINTB     0x40000000
- #define AR_PHY_9285_ANT_DIV_MAIN_GAINTB_S   30
--#define AR_PHY_9285_ANT_DIV_LNA1            2
--#define AR_PHY_9285_ANT_DIV_LNA2            1
--#define AR_PHY_9285_ANT_DIV_LNA1_PLUS_LNA2  3
--#define AR_PHY_9285_ANT_DIV_LNA1_MINUS_LNA2 0
- #define AR_PHY_9285_ANT_DIV_GAINTB_0        0
- #define AR_PHY_9285_ANT_DIV_GAINTB_1        1
-+#define ATH_BT_COEX_ANTDIV_CONTROL1_ENABLE  0x0b
-+#define ATH_BT_COEX_ANTDIV_CONTROL2_ENABLE  0x09
-+#define ATH_BT_COEX_ANTDIV_CONTROL1_FIXED_A 0x04
-+#define ATH_BT_COEX_ANTDIV_CONTROL2_FIXED_A 0x09
-+#define ATH_BT_COEX_ANT_DIV_SWITCH_COM      0x66666666
-+
- #define AR_PHY_EXT_CCA0             0x99b8
- #define AR_PHY_EXT_CCA0_THRESH62    0x000000FF
- #define AR_PHY_EXT_CCA0_THRESH62_S  0
---- a/drivers/net/wireless/ath/ath9k/ar9003_eeprom.c
-+++ b/drivers/net/wireless/ath/ath9k/ar9003_eeprom.c
-@@ -3541,13 +3541,12 @@ static u16 ar9003_switch_com_spdt_get(st
-       return le16_to_cpu(ar9003_modal_header(ah, is2ghz)->switchcomspdt);
- }
--
--static u32 ar9003_hw_ant_ctrl_common_get(struct ath_hw *ah, bool is2ghz)
-+u32 ar9003_hw_ant_ctrl_common_get(struct ath_hw *ah, bool is2ghz)
- {
-       return le32_to_cpu(ar9003_modal_header(ah, is2ghz)->antCtrlCommon);
- }
--static u32 ar9003_hw_ant_ctrl_common_2_get(struct ath_hw *ah, bool is2ghz)
-+u32 ar9003_hw_ant_ctrl_common_2_get(struct ath_hw *ah, bool is2ghz)
- {
-       return le32_to_cpu(ar9003_modal_header(ah, is2ghz)->antCtrlCommon2);
- }
-@@ -3561,6 +3560,7 @@ static u16 ar9003_hw_ant_ctrl_chain_get(
- static void ar9003_hw_ant_ctrl_apply(struct ath_hw *ah, bool is2ghz)
- {
-+      struct ath_common *common = ath9k_hw_common(ah);
-       struct ath9k_hw_capabilities *pCap = &ah->caps;
-       int chain;
-       u32 regval, value, gpio;
-@@ -3614,6 +3614,11 @@ static void ar9003_hw_ant_ctrl_apply(str
-       }
-       value = ar9003_hw_ant_ctrl_common_2_get(ah, is2ghz);
-+      if (AR_SREV_9485(ah) && common->bt_ant_diversity) {
-+              regval &= ~AR_SWITCH_TABLE_COM2_ALL;
-+              regval |= ah->config.ant_ctrl_comm2g_switch_enable;
-+
-+      }
-       REG_RMW_FIELD(ah, AR_PHY_SWITCH_COM_2, AR_SWITCH_TABLE_COM2_ALL, value);
-       if ((AR_SREV_9462(ah)) && (ah->rxchainmask == 0x2)) {
-@@ -3645,8 +3650,11 @@ static void ar9003_hw_ant_ctrl_apply(str
-               regval &= (~AR_PHY_ANT_DIV_LNADIV);
-               regval |= ((value >> 6) & 0x1) << AR_PHY_ANT_DIV_LNADIV_S;
-+              if (AR_SREV_9485(ah) && common->bt_ant_diversity)
-+                      regval |= AR_ANT_DIV_ENABLE;
-+
-               if (AR_SREV_9565(ah)) {
--                      if (ah->shared_chain_lnadiv) {
-+                      if (common->bt_ant_diversity) {
-                               regval |= (1 << AR_PHY_ANT_SW_RX_PROT_S);
-                       } else {
-                               regval &= ~(1 << AR_PHY_ANT_DIV_LNADIV_S);
-@@ -3656,10 +3664,14 @@ static void ar9003_hw_ant_ctrl_apply(str
-               REG_WRITE(ah, AR_PHY_MC_GAIN_CTRL, regval);
--              /*enable fast_div */
-+              /* enable fast_div */
-               regval = REG_READ(ah, AR_PHY_CCK_DETECT);
-               regval &= (~AR_FAST_DIV_ENABLE);
-               regval |= ((value >> 7) & 0x1) << AR_FAST_DIV_ENABLE_S;
-+
-+              if (AR_SREV_9485(ah) && common->bt_ant_diversity)
-+                      regval |= AR_FAST_DIV_ENABLE;
-+
-               REG_WRITE(ah, AR_PHY_CCK_DETECT, regval);
-               if (pCap->hw_caps & ATH9K_HW_CAP_ANT_DIV_COMB) {
-@@ -3673,9 +3685,9 @@ static void ar9003_hw_ant_ctrl_apply(str
-                                    AR_PHY_ANT_DIV_ALT_GAINTB |
-                                    AR_PHY_ANT_DIV_MAIN_GAINTB));
-                       /* by default use LNA1 for the main antenna */
--                      regval |= (AR_PHY_ANT_DIV_LNA1 <<
-+                      regval |= (ATH_ANT_DIV_COMB_LNA1 <<
-                                  AR_PHY_ANT_DIV_MAIN_LNACONF_S);
--                      regval |= (AR_PHY_ANT_DIV_LNA2 <<
-+                      regval |= (ATH_ANT_DIV_COMB_LNA2 <<
-                                  AR_PHY_ANT_DIV_ALT_LNACONF_S);
-                       REG_WRITE(ah, AR_PHY_MC_GAIN_CTRL, regval);
-               }
-@@ -3813,6 +3825,11 @@ static void ar9003_hw_atten_apply(struct
-                       else
-                               value = ar9003_hw_atten_chain_get_margin(ah, i, chan);
-+                      if (ah->config.alt_mingainidx)
-+                              REG_RMW_FIELD(ah, AR_PHY_EXT_ATTEN_CTL_0,
-+                                            AR_PHY_EXT_ATTEN_CTL_XATTEN1_MARGIN,
-+                                            value);
-+
-                       REG_RMW_FIELD(ah, ext_atten_reg[i],
-                                     AR_PHY_EXT_ATTEN_CTL_XATTEN1_MARGIN,
-                                     value);
---- a/drivers/net/wireless/ath/ath9k/ar9003_eeprom.h
-+++ b/drivers/net/wireless/ath/ath9k/ar9003_eeprom.h
-@@ -334,6 +334,8 @@ struct ar9300_eeprom {
- s32 ar9003_hw_get_tx_gain_idx(struct ath_hw *ah);
- s32 ar9003_hw_get_rx_gain_idx(struct ath_hw *ah);
-+u32 ar9003_hw_ant_ctrl_common_get(struct ath_hw *ah, bool is2ghz);
-+u32 ar9003_hw_ant_ctrl_common_2_get(struct ath_hw *ah, bool is2ghz);
- u8 *ar9003_get_spur_chan_ptr(struct ath_hw *ah, bool is_2ghz);
---- a/drivers/net/wireless/ath/ath9k/ar9003_phy.h
-+++ b/drivers/net/wireless/ath/ath9k/ar9003_phy.h
-@@ -148,6 +148,8 @@
- #define AR_PHY_SFCORR_SPUR_SUBCHNL_SD_S   28
- #define AR_PHY_EXT_CCA_THRESH62 0x007F0000
- #define AR_PHY_EXT_CCA_THRESH62_S       16
-+#define AR_PHY_EXTCHN_PWRTHR1_ANT_DIV_ALT_ANT_MINGAINIDX    0x0000FF00
-+#define AR_PHY_EXTCHN_PWRTHR1_ANT_DIV_ALT_ANT_MINGAINIDX_S  8
- #define AR_PHY_EXT_MINCCA_PWR   0x01FF0000
- #define AR_PHY_EXT_MINCCA_PWR_S 16
- #define AR_PHY_EXT_CYCPWR_THR1 0x0000FE00L
-@@ -296,11 +298,6 @@
- #define AR_PHY_ANT_DIV_MAIN_GAINTB              0x40000000
- #define AR_PHY_ANT_DIV_MAIN_GAINTB_S            30
--#define AR_PHY_ANT_DIV_LNA1_MINUS_LNA2          0x0
--#define AR_PHY_ANT_DIV_LNA2                     0x1
--#define AR_PHY_ANT_DIV_LNA1                     0x2
--#define AR_PHY_ANT_DIV_LNA1_PLUS_LNA2           0x3
--
- #define AR_PHY_EXTCHN_PWRTHR1   (AR_AGC_BASE + 0x2c)
- #define AR_PHY_EXT_CHN_WIN      (AR_AGC_BASE + 0x30)
- #define AR_PHY_20_40_DET_THR    (AR_AGC_BASE + 0x34)
---- a/drivers/net/wireless/ath/ath9k/debug.h
-+++ b/drivers/net/wireless/ath/ath9k/debug.h
-@@ -28,9 +28,13 @@ struct fft_sample_tlv;
- #ifdef CPTCFG_ATH9K_DEBUGFS
- #define TX_STAT_INC(q, c) sc->debug.stats.txstats[q].c++
- #define RESET_STAT_INC(sc, type) sc->debug.stats.reset[type]++
-+#define ANT_STAT_INC(i, c) sc->debug.stats.ant_stats[i].c++
-+#define ANT_LNA_INC(i, c) sc->debug.stats.ant_stats[i].lna_recv_cnt[c]++;
- #else
- #define TX_STAT_INC(q, c) do { } while (0)
- #define RESET_STAT_INC(sc, type) do { } while (0)
-+#define ANT_STAT_INC(i, c) do { } while (0)
-+#define ANT_LNA_INC(i, c) do { } while (0)
- #endif
- enum ath_reset_type {
-@@ -243,11 +247,22 @@ struct ath_rx_stats {
-       u32 rx_spectral;
- };
-+#define ANT_MAIN 0
-+#define ANT_ALT  1
-+
-+struct ath_antenna_stats {
-+      u32 recv_cnt;
-+      u32 rssi_avg;
-+      u32 lna_recv_cnt[4];
-+      u32 lna_attempt_cnt[4];
-+};
-+
- struct ath_stats {
-       struct ath_interrupt_stats istats;
-       struct ath_tx_stats txstats[ATH9K_NUM_TX_QUEUES];
-       struct ath_rx_stats rxstats;
-       struct ath_dfs_stats dfs_stats;
-+      struct ath_antenna_stats ant_stats[2];
-       u32 reset[__RESET_TYPE_MAX];
- };
-@@ -281,10 +296,11 @@ void ath9k_sta_remove_debugfs(struct iee
-                             struct ieee80211_vif *vif,
-                             struct ieee80211_sta *sta,
-                             struct dentry *dir);
--
- void ath_debug_send_fft_sample(struct ath_softc *sc,
-                              struct fft_sample_tlv *fft_sample);
--
-+void ath9k_debug_stat_ant(struct ath_softc *sc,
-+                        struct ath_hw_antcomb_conf *div_ant_conf,
-+                        int main_rssi_avg, int alt_rssi_avg);
- #else
- #define RX_STAT_INC(c) /* NOP */
-@@ -297,12 +313,10 @@ static inline int ath9k_init_debug(struc
- static inline void ath9k_deinit_debug(struct ath_softc *sc)
- {
- }
--
- static inline void ath_debug_stat_interrupt(struct ath_softc *sc,
-                                           enum ath9k_int status)
- {
- }
--
- static inline void ath_debug_stat_tx(struct ath_softc *sc,
-                                    struct ath_buf *bf,
-                                    struct ath_tx_status *ts,
-@@ -310,11 +324,16 @@ static inline void ath_debug_stat_tx(str
-                                    unsigned int flags)
- {
- }
--
- static inline void ath_debug_stat_rx(struct ath_softc *sc,
-                                    struct ath_rx_status *rs)
- {
- }
-+static inline void ath9k_debug_stat_ant(struct ath_softc *sc,
-+                                      struct ath_hw_antcomb_conf *div_ant_conf,
-+                                      int main_rssi_avg, int alt_rssi_avg)
-+{
-+
-+}
- #endif /* CPTCFG_ATH9K_DEBUGFS */
---- a/drivers/net/wireless/ath/ath9k/eeprom_4k.c
-+++ b/drivers/net/wireless/ath/ath9k/eeprom_4k.c
-@@ -812,6 +812,7 @@ static void ath9k_hw_4k_set_gain(struct 
- static void ath9k_hw_4k_set_board_values(struct ath_hw *ah,
-                                        struct ath9k_channel *chan)
- {
-+      struct ath9k_hw_capabilities *pCap = &ah->caps;
-       struct modal_eep_4k_header *pModal;
-       struct ar5416_eeprom_4k *eep = &ah->eeprom.map4k;
-       struct base_eep_header_4k *pBase = &eep->baseEepHeader;
-@@ -858,6 +859,24 @@ static void ath9k_hw_4k_set_board_values
-               REG_WRITE(ah, AR_PHY_CCK_DETECT, regVal);
-               regVal = REG_READ(ah, AR_PHY_CCK_DETECT);
-+
-+              if (pCap->hw_caps & ATH9K_HW_CAP_ANT_DIV_COMB) {
-+                      /*
-+                       * If diversity combining is enabled,
-+                       * set MAIN to LNA1 and ALT to LNA2 initially.
-+                       */
-+                      regVal = REG_READ(ah, AR_PHY_MULTICHAIN_GAIN_CTL);
-+                      regVal &= (~(AR_PHY_9285_ANT_DIV_MAIN_LNACONF |
-+                                   AR_PHY_9285_ANT_DIV_ALT_LNACONF));
-+
-+                      regVal |= (ATH_ANT_DIV_COMB_LNA1 <<
-+                                 AR_PHY_9285_ANT_DIV_MAIN_LNACONF_S);
-+                      regVal |= (ATH_ANT_DIV_COMB_LNA2 <<
-+                                 AR_PHY_9285_ANT_DIV_ALT_LNACONF_S);
-+                      regVal &= (~(AR_PHY_9285_FAST_DIV_BIAS));
-+                      regVal |= (0 << AR_PHY_9285_FAST_DIV_BIAS_S);
-+                      REG_WRITE(ah, AR_PHY_MULTICHAIN_GAIN_CTL, regVal);
-+              }
-       }
-       if (pModal->version >= 2) {
---- a/drivers/net/wireless/ath/ath9k/hw-ops.h
-+++ b/drivers/net/wireless/ath/ath9k/hw-ops.h
-@@ -78,13 +78,16 @@ static inline void ath9k_hw_antdiv_comb_
-       ath9k_hw_ops(ah)->antdiv_comb_conf_set(ah, antconf);
- }
--static inline void ath9k_hw_antctrl_shared_chain_lnadiv(struct ath_hw *ah,
--                                                      bool enable)
-+#ifdef CPTCFG_ATH9K_BTCOEX_SUPPORT
-+
-+static inline void ath9k_hw_set_bt_ant_diversity(struct ath_hw *ah, bool enable)
- {
--      if (ath9k_hw_ops(ah)->antctrl_shared_chain_lnadiv)
--              ath9k_hw_ops(ah)->antctrl_shared_chain_lnadiv(ah, enable);
-+      if (ath9k_hw_ops(ah)->set_bt_ant_diversity)
-+              ath9k_hw_ops(ah)->set_bt_ant_diversity(ah, enable);
- }
-+#endif
-+
- /* Private hardware call ops */
- /* PHY ops */
---- a/drivers/net/wireless/ath/ath9k/hw.c
-+++ b/drivers/net/wireless/ath/ath9k/hw.c
-@@ -450,7 +450,6 @@ static void ath9k_hw_init_config(struct 
-       ah->config.ack_6mb = 0x0;
-       ah->config.cwm_ignore_extcca = 0;
-       ah->config.pcie_clock_req = 0;
--      ah->config.pcie_waen = 0;
-       ah->config.analog_shiftreg = 1;
-       for (i = 0; i < AR_EEPROM_MODAL_SPURS; i++) {
-@@ -1069,7 +1068,7 @@ void ath9k_hw_init_global_settings(struc
-               if (IS_CHAN_A_FAST_CLOCK(ah, chan))
-                   tx_lat += 11;
--              sifstime *= 2;
-+              sifstime = 32;
-               ack_offset = 16;
-               slottime = 13;
-       } else if (IS_CHAN_QUARTER_RATE(chan)) {
-@@ -1079,7 +1078,7 @@ void ath9k_hw_init_global_settings(struc
-               if (IS_CHAN_A_FAST_CLOCK(ah, chan))
-                   tx_lat += 22;
--              sifstime *= 4;
-+              sifstime = 64;
-               ack_offset = 32;
-               slottime = 21;
-       } else {
-@@ -1116,7 +1115,6 @@ void ath9k_hw_init_global_settings(struc
-               ctstimeout += 48 - sifstime - ah->slottime;
-       }
--
-       ath9k_hw_set_sifs_time(ah, sifstime);
-       ath9k_hw_setslottime(ah, slottime);
-       ath9k_hw_set_ack_timeout(ah, acktimeout);
-@@ -1496,16 +1494,18 @@ static bool ath9k_hw_channel_change(stru
-                                   struct ath9k_channel *chan)
+ struct ath_frame_info {
+       struct ath_buf *bf;
+-      int framelen;
++      u16 framelen;
++      s8 txq;
+       enum ath9k_key_type keytype;
+       u8 keyix;
+       u8 rtscts_rate;
+--- a/drivers/net/wireless/ath/ath9k/xmit.c
++++ b/drivers/net/wireless/ath/ath9k/xmit.c
+@@ -147,15 +147,13 @@ static void ath_set_rates(struct ieee802
+ static void ath_txq_skb_done(struct ath_softc *sc, struct ath_txq *txq,
+                            struct sk_buff *skb)
  {
-       struct ath_common *common = ath9k_hw_common(ah);
-+      struct ath9k_hw_capabilities *pCap = &ah->caps;
-+      bool band_switch = false, mode_diff = false;
-+      u8 ini_reloaded = 0;
-       u32 qnum;
-       int r;
--      bool edma = !!(ah->caps.hw_caps & ATH9K_HW_CAP_EDMA);
--      bool band_switch, mode_diff;
--      u8 ini_reloaded;
+-      int q;
 -
--      band_switch = (chan->channelFlags & (CHANNEL_2GHZ | CHANNEL_5GHZ)) !=
--                    (ah->curchan->channelFlags & (CHANNEL_2GHZ |
--                                                  CHANNEL_5GHZ));
--      mode_diff = (chan->chanmode != ah->curchan->chanmode);
-+
-+      if (pCap->hw_caps & ATH9K_HW_CAP_FCC_BAND_SWITCH) {
-+              u32 cur = ah->curchan->channelFlags & (CHANNEL_2GHZ | CHANNEL_5GHZ);
-+              u32 new = chan->channelFlags & (CHANNEL_2GHZ | CHANNEL_5GHZ);
-+              band_switch = (cur != new);
-+              mode_diff = (chan->chanmode != ah->curchan->chanmode);
-+      }
-       for (qnum = 0; qnum < AR_NUM_QCU; qnum++) {
-               if (ath9k_hw_numtxpending(ah, qnum)) {
-@@ -1520,11 +1520,12 @@ static bool ath9k_hw_channel_change(stru
-               return false;
-       }
--      if (edma && (band_switch || mode_diff)) {
-+      if (band_switch || mode_diff) {
-               ath9k_hw_mark_phy_inactive(ah);
-               udelay(5);
--              ath9k_hw_init_pll(ah, NULL);
-+              if (band_switch)
-+                      ath9k_hw_init_pll(ah, chan);
-               if (ath9k_hw_fast_chan_change(ah, chan, &ini_reloaded)) {
-                       ath_err(common, "Failed to do fast channel change\n");
-@@ -1541,22 +1542,21 @@ static bool ath9k_hw_channel_change(stru
-       }
-       ath9k_hw_set_clockrate(ah);
-       ath9k_hw_apply_txpower(ah, chan, false);
--      ath9k_hw_rfbus_done(ah);
-       if (IS_CHAN_OFDM(chan) || IS_CHAN_HT(chan))
-               ath9k_hw_set_delta_slope(ah, chan);
-       ath9k_hw_spur_mitigate_freq(ah, chan);
--      if (edma && (band_switch || mode_diff)) {
--              ah->ah_flags |= AH_FASTCC;
--              if (band_switch || ini_reloaded)
--                      ah->eep_ops->set_board_values(ah, chan);
-+      if (band_switch || ini_reloaded)
-+              ah->eep_ops->set_board_values(ah, chan);
--              ath9k_hw_init_bb(ah, chan);
-+      ath9k_hw_init_bb(ah, chan);
-+      ath9k_hw_rfbus_done(ah);
--              if (band_switch || ini_reloaded)
--                      ath9k_hw_init_cal(ah, chan);
-+      if (band_switch || ini_reloaded) {
-+              ah->ah_flags |= AH_FASTCC;
-+              ath9k_hw_init_cal(ah, chan);
-               ah->ah_flags &= ~AH_FASTCC;
-       }
-@@ -1778,16 +1778,11 @@ static void ath9k_hw_init_desc(struct at
- /*
-  * Fast channel change:
-  * (Change synthesizer based on channel freq without resetting chip)
-- *
-- * Don't do FCC when
-- *   - Flag is not set
-- *   - Chip is just coming out of full sleep
-- *   - Channel to be set is same as current channel
-- *   - Channel flags are different, (eg.,moving from 2GHz to 5GHz channel)
-  */
- static int ath9k_hw_do_fastcc(struct ath_hw *ah, struct ath9k_channel *chan)
- {
-       struct ath_common *common = ath9k_hw_common(ah);
-+      struct ath9k_hw_capabilities *pCap = &ah->caps;
-       int ret;
-       if (AR_SREV_9280(ah) && common->bus_ops->ath_bus_type == ATH_PCI)
-@@ -1806,9 +1801,21 @@ static int ath9k_hw_do_fastcc(struct ath
-           (CHANNEL_HALF | CHANNEL_QUARTER))
-               goto fail;
--      if ((chan->channelFlags & CHANNEL_ALL) !=
--          (ah->curchan->channelFlags & CHANNEL_ALL))
--              goto fail;
-+      /*
-+       * If cross-band fcc is not supoprted, bail out if
-+       * either channelFlags or chanmode differ.
-+       *
-+       * chanmode will be different if the HT operating mode
-+       * changes because of CSA.
-+       */
-+      if (!(pCap->hw_caps & ATH9K_HW_CAP_FCC_BAND_SWITCH)) {
-+              if ((chan->channelFlags & CHANNEL_ALL) !=
-+                  (ah->curchan->channelFlags & CHANNEL_ALL))
-+                      goto fail;
-+
-+              if (chan->chanmode != ah->curchan->chanmode)
-+                      goto fail;
-+      }
+-      q = skb_get_queue_mapping(skb);
+-      if (txq == sc->tx.uapsdq)
+-              txq = sc->tx.txq_map[q];
++      struct ath_frame_info *fi = get_frame_info(skb);
++      int q = fi->txq;
  
-       if (!ath9k_hw_check_alive(ah))
-               goto fail;
-@@ -2047,7 +2054,7 @@ int ath9k_hw_reset(struct ath_hw *ah, st
+-      if (txq != sc->tx.txq_map[q])
++      if (q < 0)
+               return;
  
-       ath9k_hw_apply_gpio_override(ah);
++      txq = sc->tx.txq_map[q];
+       if (WARN_ON(--txq->pending_frames < 0))
+               txq->pending_frames = 0;
  
--      if (AR_SREV_9565(ah) && ah->shared_chain_lnadiv)
-+      if (AR_SREV_9565(ah) && common->bt_ant_diversity)
-               REG_SET_BIT(ah, AR_BTCOEX_WL_LNADIV, AR_BTCOEX_WL_LNADIV_FORCE_ON);
+@@ -887,6 +885,15 @@ ath_tx_get_tid_subframe(struct ath_softc
  
-       return 0;
-@@ -2550,34 +2557,28 @@ int ath9k_hw_fill_cap_info(struct ath_hw
-       if (AR_SREV_9287_11_OR_LATER(ah) || AR_SREV_9271(ah))
-               pCap->hw_caps |= ATH9K_HW_CAP_SGI_20;
--      if (AR_SREV_9285(ah))
-+      if (AR_SREV_9285(ah)) {
-               if (ah->eep_ops->get_eeprom(ah, EEP_MODAL_VER) >= 3) {
-                       ant_div_ctl1 =
-                               ah->eep_ops->get_eeprom(ah, EEP_ANT_DIV_CTL1);
--                      if ((ant_div_ctl1 & 0x1) && ((ant_div_ctl1 >> 3) & 0x1))
-+                      if ((ant_div_ctl1 & 0x1) && ((ant_div_ctl1 >> 3) & 0x1)) {
-                               pCap->hw_caps |= ATH9K_HW_CAP_ANT_DIV_COMB;
-+                              ath_info(common, "Enable LNA combining\n");
-+                      }
-               }
-+      }
+               tx_info = IEEE80211_SKB_CB(skb);
+               tx_info->flags &= ~IEEE80211_TX_CTL_CLEAR_PS_FILT;
 +
-       if (AR_SREV_9300_20_OR_LATER(ah)) {
-               if (ah->eep_ops->get_eeprom(ah, EEP_CHAIN_MASK_REDUCE))
-                       pCap->hw_caps |= ATH9K_HW_CAP_APM;
-       }
++              /*
++               * No aggregation session is running, but there may be frames
++               * from a previous session or a failed attempt in the queue.
++               * Send them out as normal data frames
++               */
++              if (!tid->active)
++                      tx_info->flags &= ~IEEE80211_TX_CTL_AMPDU;
++
+               if (!(tx_info->flags & IEEE80211_TX_CTL_AMPDU)) {
+                       bf->bf_state.bf_type = 0;
+                       return bf;
+@@ -1999,6 +2006,7 @@ static void setup_frame_info(struct ieee
+               an = (struct ath_node *) sta->drv_priv;
+       memset(fi, 0, sizeof(*fi));
++      fi->txq = -1;
+       if (hw_key)
+               fi->keyix = hw_key->hw_key_idx;
+       else if (an && ieee80211_is_data(hdr->frame_control) && an->ps_key > 0)
+@@ -2150,6 +2158,7 @@ int ath_tx_start(struct ieee80211_hw *hw
+       struct ieee80211_tx_info *info = IEEE80211_SKB_CB(skb);
+       struct ieee80211_sta *sta = txctl->sta;
+       struct ieee80211_vif *vif = info->control.vif;
++      struct ath_frame_info *fi = get_frame_info(skb);
+       struct ath_softc *sc = hw->priv;
+       struct ath_txq *txq = txctl->txq;
+       struct ath_atx_tid *tid = NULL;
+@@ -2170,11 +2179,13 @@ int ath_tx_start(struct ieee80211_hw *hw
+       q = skb_get_queue_mapping(skb);
  
--
-       if (AR_SREV_9330(ah) || AR_SREV_9485(ah) || AR_SREV_9565(ah)) {
-               ant_div_ctl1 = ah->eep_ops->get_eeprom(ah, EEP_ANT_DIV_CTL1);
--              /*
--               * enable the diversity-combining algorithm only when
--               * both enable_lna_div and enable_fast_div are set
--               *              Table for Diversity
--               * ant_div_alt_lnaconf          bit 0-1
--               * ant_div_main_lnaconf         bit 2-3
--               * ant_div_alt_gaintb           bit 4
--               * ant_div_main_gaintb          bit 5
--               * enable_ant_div_lnadiv        bit 6
--               * enable_ant_fast_div          bit 7
--               */
--              if ((ant_div_ctl1 >> 0x6) == 0x3)
-+              if ((ant_div_ctl1 >> 0x6) == 0x3) {
-                       pCap->hw_caps |= ATH9K_HW_CAP_ANT_DIV_COMB;
-+                      ath_info(common, "Enable LNA combining\n");
+       ath_txq_lock(sc, txq);
+-      if (txq == sc->tx.txq_map[q] &&
+-          ++txq->pending_frames > sc->tx.txq_max_pending[q] &&
+-          !txq->stopped) {
+-              ieee80211_stop_queue(sc->hw, q);
+-              txq->stopped = true;
++      if (txq == sc->tx.txq_map[q]) {
++              fi->txq = q;
++              if (++txq->pending_frames > sc->tx.txq_max_pending[q] &&
++                  !txq->stopped) {
++                      ieee80211_stop_queue(sc->hw, q);
++                      txq->stopped = true;
 +              }
        }
  
-       if (ath9k_hw_dfs_tested(ah))
-@@ -2610,6 +2611,13 @@ int ath9k_hw_fill_cap_info(struct ath_hw
-           ah->eep_ops->get_eeprom(ah, EEP_PAPRD))
-                       pCap->hw_caps |= ATH9K_HW_CAP_PAPRD;
+       if (txctl->an && ieee80211_is_data_present(hdr->frame_control))
+--- a/net/mac80211/chan.c
++++ b/net/mac80211/chan.c
+@@ -521,6 +521,8 @@ static void ieee80211_recalc_chanctx_cha
+                       continue;
+               if (rcu_access_pointer(sdata->vif.chanctx_conf) != conf)
+                       continue;
++              if (sdata->vif.type == NL80211_IFTYPE_AP_VLAN)
++                      continue;
  
-+      /*
-+       * Fast channel change across bands is available
-+       * only for AR9462 and AR9565.
-+       */
-+      if (AR_SREV_9462(ah) || AR_SREV_9565(ah))
-+              pCap->hw_caps |= ATH9K_HW_CAP_FCC_BAND_SWITCH;
-+
-       return 0;
+               if (!compat)
+                       compat = &sdata->vif.bss_conf.chandef;
+--- a/drivers/net/wireless/ath/ath9k/ar5008_phy.c
++++ b/drivers/net/wireless/ath/ath9k/ar5008_phy.c
+@@ -1004,9 +1004,11 @@ static bool ar5008_hw_ani_control_new(st
+       case ATH9K_ANI_FIRSTEP_LEVEL:{
+               u32 level = param;
+-              value = level;
++              value = level * 2;
+               REG_RMW_FIELD(ah, AR_PHY_FIND_SIG,
+                             AR_PHY_FIND_SIG_FIRSTEP, value);
++              REG_RMW_FIELD(ah, AR_PHY_FIND_SIG_LOW,
++                            AR_PHY_FIND_SIG_FIRSTEP_LOW, value);
+               if (level != aniState->firstepLevel) {
+                       ath_dbg(common, ANI,
+@@ -1040,9 +1042,8 @@ static bool ar5008_hw_ani_control_new(st
+               REG_RMW_FIELD(ah, AR_PHY_TIMING5,
+                             AR_PHY_TIMING5_CYCPWR_THR1, value);
+-              if (IS_CHAN_HT40(ah->curchan))
+-                      REG_RMW_FIELD(ah, AR_PHY_EXT_CCA,
+-                                    AR_PHY_EXT_TIMING5_CYCPWR_THR1, value);
++              REG_RMW_FIELD(ah, AR_PHY_EXT_CCA,
++                                AR_PHY_EXT_TIMING5_CYCPWR_THR1, value - 1);
+               if (level != aniState->spurImmunityLevel) {
+                       ath_dbg(common, ANI,
+--- a/drivers/net/wireless/ath/ath9k/ar9003_phy.c
++++ b/drivers/net/wireless/ath/ath9k/ar9003_phy.c
+@@ -517,6 +517,23 @@ static void ar9003_hw_spur_mitigate(stru
+       ar9003_hw_spur_mitigate_ofdm(ah, chan);
  }
  
---- a/drivers/net/wireless/ath/ath9k/hw.h
-+++ b/drivers/net/wireless/ath/ath9k/hw.h
-@@ -247,6 +247,8 @@ enum ath9k_hw_caps {
-       ATH9K_HW_CAP_DFS                        = BIT(16),
-       ATH9K_HW_WOW_DEVICE_CAPABLE             = BIT(17),
-       ATH9K_HW_CAP_PAPRD                      = BIT(18),
-+      ATH9K_HW_CAP_FCC_BAND_SWITCH            = BIT(19),
-+      ATH9K_HW_CAP_BT_ANT_DIV                 = BIT(20),
- };
- /*
-@@ -309,8 +311,11 @@ struct ath9k_ops_config {
-       u16 ani_poll_interval; /* ANI poll interval in ms */
-       /* Platform specific config */
-+      u32 aspm_l1_fix;
-       u32 xlna_gpio;
-+      u32 ant_ctrl_comm2g_switch_enable;
-       bool xatten_margin_cfg;
-+      bool alt_mingainidx;
- };
- enum ath9k_int {
-@@ -716,11 +721,14 @@ struct ath_hw_ops {
-                       struct ath_hw_antcomb_conf *antconf);
-       void (*antdiv_comb_conf_set)(struct ath_hw *ah,
-                       struct ath_hw_antcomb_conf *antconf);
--      void (*antctrl_shared_chain_lnadiv)(struct ath_hw *hw, bool enable);
-       void (*spectral_scan_config)(struct ath_hw *ah,
-                                    struct ath_spec_scan *param);
-       void (*spectral_scan_trigger)(struct ath_hw *ah);
-       void (*spectral_scan_wait)(struct ath_hw *ah);
-+
-+#ifdef CPTCFG_ATH9K_BTCOEX_SUPPORT
-+      void (*set_bt_ant_diversity)(struct ath_hw *hw, bool enable);
-+#endif
- };
- struct ath_nf_limits {
-@@ -765,7 +773,6 @@ struct ath_hw {
-       bool aspm_enabled;
-       bool is_monitoring;
-       bool need_an_top2_fixup;
--      bool shared_chain_lnadiv;
-       u16 tx_trig_level;
-       u32 nf_regs[6];
---- a/drivers/net/wireless/ath/ath9k/pci.c
-+++ b/drivers/net/wireless/ath/ath9k/pci.c
-@@ -29,6 +29,60 @@ static DEFINE_PCI_DEVICE_TABLE(ath_pci_i
-       { PCI_VDEVICE(ATHEROS, 0x0027) }, /* PCI   */
-       { PCI_VDEVICE(ATHEROS, 0x0029) }, /* PCI   */
-       { PCI_VDEVICE(ATHEROS, 0x002A) }, /* PCI-E */
-+
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x002A,
-+                       PCI_VENDOR_ID_AZWAVE,
-+                       0x1C71),
-+        .driver_data = ATH9K_PCI_D3_L1_WAR },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x002A,
-+                       PCI_VENDOR_ID_FOXCONN,
-+                       0xE01F),
-+        .driver_data = ATH9K_PCI_D3_L1_WAR },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x002A,
-+                       0x11AD, /* LITEON */
-+                       0x6632),
-+        .driver_data = ATH9K_PCI_D3_L1_WAR },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x002A,
-+                       0x11AD, /* LITEON */
-+                       0x6642),
-+        .driver_data = ATH9K_PCI_D3_L1_WAR },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x002A,
-+                       PCI_VENDOR_ID_QMI,
-+                       0x0306),
-+        .driver_data = ATH9K_PCI_D3_L1_WAR },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x002A,
-+                       0x185F, /* WNC */
-+                       0x309D),
-+        .driver_data = ATH9K_PCI_D3_L1_WAR },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x002A,
-+                       0x10CF, /* Fujitsu */
-+                       0x147C),
-+        .driver_data = ATH9K_PCI_D3_L1_WAR },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x002A,
-+                       0x10CF, /* Fujitsu */
-+                       0x147D),
-+        .driver_data = ATH9K_PCI_D3_L1_WAR },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x002A,
-+                       0x10CF, /* Fujitsu */
-+                       0x1536),
-+        .driver_data = ATH9K_PCI_D3_L1_WAR },
-+
-+      /* AR9285 card for Asus */
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x002B,
-+                       PCI_VENDOR_ID_AZWAVE,
-+                       0x2C37),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
++static u32 ar9003_hw_compute_pll_control_soc(struct ath_hw *ah,
++                                           struct ath9k_channel *chan)
++{
++      u32 pll;
 +
-       { PCI_VDEVICE(ATHEROS, 0x002B) }, /* PCI-E */
-       { PCI_VDEVICE(ATHEROS, 0x002C) }, /* PCI-E 802.11n bonded out */
-       { PCI_VDEVICE(ATHEROS, 0x002D) }, /* PCI   */
-@@ -40,29 +94,106 @@ static DEFINE_PCI_DEVICE_TABLE(ath_pci_i
-                        0x0032,
-                        PCI_VENDOR_ID_AZWAVE,
-                        0x2086),
--        .driver_data = ATH9K_PCI_CUS198 },
-+        .driver_data = ATH9K_PCI_CUS198 | ATH9K_PCI_BT_ANT_DIV },
-       { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-                        0x0032,
-                        PCI_VENDOR_ID_AZWAVE,
-                        0x1237),
--        .driver_data = ATH9K_PCI_CUS198 },
-+        .driver_data = ATH9K_PCI_CUS198 | ATH9K_PCI_BT_ANT_DIV },
-       { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-                        0x0032,
-                        PCI_VENDOR_ID_AZWAVE,
-                        0x2126),
--        .driver_data = ATH9K_PCI_CUS198 },
-+        .driver_data = ATH9K_PCI_CUS198 | ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_AZWAVE,
-+                       0x126A),
-+        .driver_data = ATH9K_PCI_CUS198 | ATH9K_PCI_BT_ANT_DIV },
-       /* PCI-E CUS230 */
-       { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-                        0x0032,
-                        PCI_VENDOR_ID_AZWAVE,
-                        0x2152),
--        .driver_data = ATH9K_PCI_CUS230 },
-+        .driver_data = ATH9K_PCI_CUS230 | ATH9K_PCI_BT_ANT_DIV },
-       { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-                        0x0032,
-                        PCI_VENDOR_ID_FOXCONN,
-                        0xE075),
--        .driver_data = ATH9K_PCI_CUS230 },
-+        .driver_data = ATH9K_PCI_CUS230 | ATH9K_PCI_BT_ANT_DIV },
++      pll = SM(0x5, AR_RTC_9300_SOC_PLL_REFDIV);
 +
-+      /* WB225 */
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_ATHEROS,
-+                       0x3119),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_ATHEROS,
-+                       0x3122),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       0x185F, /* WNC */
-+                       0x3119),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       0x185F, /* WNC */
-+                       0x3027),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_SAMSUNG,
-+                       0x4105),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_SAMSUNG,
-+                       0x4106),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_SAMSUNG,
-+                       0x410D),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_SAMSUNG,
-+                       0x410E),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_SAMSUNG,
-+                       0x410F),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_SAMSUNG,
-+                       0xC706),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_SAMSUNG,
-+                       0xC680),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_SAMSUNG,
-+                       0xC708),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_LENOVO,
-+                       0x3218),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-+      { PCI_DEVICE_SUB(PCI_VENDOR_ID_ATHEROS,
-+                       0x0032,
-+                       PCI_VENDOR_ID_LENOVO,
-+                       0x3219),
-+        .driver_data = ATH9K_PCI_BT_ANT_DIV },
-       { PCI_VDEVICE(ATHEROS, 0x0032) }, /* PCI-E  AR9485 */
-       { PCI_VDEVICE(ATHEROS, 0x0033) }, /* PCI-E  AR9580 */
-@@ -229,6 +360,22 @@ static void ath_pci_aspm_init(struct ath
-               return;
-       }
-+      /*
-+       * 0x70c - Ack Frequency Register.
-+       *
-+       * Bits 27:29 - DEFAULT_L1_ENTRANCE_LATENCY.
-+       *
-+       * 000 : 1 us
-+       * 001 : 2 us
-+       * 010 : 4 us
-+       * 011 : 8 us
-+       * 100 : 16 us
-+       * 101 : 32 us
-+       * 110/111 : 64 us
-+       */
-+      if (AR_SREV_9462(ah))
-+              pci_read_config_dword(pdev, 0x70c, &ah->config.aspm_l1_fix);
++      if (chan && IS_CHAN_HALF_RATE(chan))
++              pll |= SM(0x1, AR_RTC_9300_SOC_PLL_CLKSEL);
++      else if (chan && IS_CHAN_QUARTER_RATE(chan))
++              pll |= SM(0x2, AR_RTC_9300_SOC_PLL_CLKSEL);
 +
-       pcie_capability_read_word(parent, PCI_EXP_LNKCTL, &aspm);
-       if (aspm & (PCI_EXP_LNKCTL_ASPM_L0S | PCI_EXP_LNKCTL_ASPM_L1)) {
-               ah->aspm_enabled = true;
---- a/drivers/net/wireless/ath/ath9k/phy.h
-+++ b/drivers/net/wireless/ath/ath9k/phy.h
-@@ -48,4 +48,11 @@
- #define AR_PHY_PLL_CONTROL 0x16180
- #define AR_PHY_PLL_MODE 0x16184
-+enum ath9k_ant_div_comb_lna_conf {
-+      ATH_ANT_DIV_COMB_LNA1_MINUS_LNA2,
-+      ATH_ANT_DIV_COMB_LNA2,
-+      ATH_ANT_DIV_COMB_LNA1,
-+      ATH_ANT_DIV_COMB_LNA1_PLUS_LNA2,
-+};
++      pll |= SM(0x2c, AR_RTC_9300_SOC_PLL_DIV_INT);
 +
- #endif
---- a/drivers/net/wireless/iwlwifi/mvm/time-event.c
-+++ b/drivers/net/wireless/iwlwifi/mvm/time-event.c
-@@ -73,7 +73,6 @@
- #include "iwl-prph.h"
- /* A TimeUnit is 1024 microsecond */
--#define TU_TO_JIFFIES(_tu)    (usecs_to_jiffies((_tu) * 1024))
- #define MSEC_TO_TU(_msec)     (_msec*1000/1024)
- /*
-@@ -191,8 +190,7 @@ static void iwl_mvm_te_handle_notif(stru
-               iwl_mvm_te_clear_data(mvm, te_data);
-       } else if (le32_to_cpu(notif->action) & TE_NOTIF_HOST_EVENT_START) {
-               te_data->running = true;
--              te_data->end_jiffies = jiffies +
--                      TU_TO_JIFFIES(te_data->duration);
-+              te_data->end_jiffies = TU_TO_EXP_TIME(te_data->duration);
-               if (te_data->vif->type == NL80211_IFTYPE_P2P_DEVICE) {
-                       set_bit(IWL_MVM_STATUS_ROC_RUNNING, &mvm->status);
-@@ -329,8 +327,7 @@ void iwl_mvm_protect_session(struct iwl_
-       lockdep_assert_held(&mvm->mutex);
-       if (te_data->running &&
--          time_after(te_data->end_jiffies,
--                     jiffies + TU_TO_JIFFIES(min_duration))) {
-+          time_after(te_data->end_jiffies, TU_TO_EXP_TIME(min_duration))) {
-               IWL_DEBUG_TE(mvm, "We have enough time in the current TE: %u\n",
-                            jiffies_to_msecs(te_data->end_jiffies - jiffies));
-               return;
---- a/include/linux/ieee80211.h
-+++ b/include/linux/ieee80211.h
-@@ -2279,4 +2279,8 @@ static inline bool ieee80211_check_tim(c
-       return !!(tim->virtual_map[index] & mask);
- }
-+/* convert time units */
-+#define TU_TO_JIFFIES(x)      (usecs_to_jiffies((x) * 1024))
-+#define TU_TO_EXP_TIME(x)     (jiffies + TU_TO_JIFFIES(x))
++      return pll;
++}
 +
- #endif /* LINUX_IEEE80211_H */
---- a/net/mac80211/rate.c
-+++ b/net/mac80211/rate.c
-@@ -210,7 +210,7 @@ static bool rc_no_data_or_no_ack_use_min
-               !ieee80211_is_data(fc);
- }
--static void rc_send_low_broadcast(s8 *idx, u32 basic_rates,
-+static void rc_send_low_basicrate(s8 *idx, u32 basic_rates,
-                                 struct ieee80211_supported_band *sband)
- {
-       u8 i;
-@@ -272,28 +272,37 @@ static void __rate_control_send_low(stru
- }
--bool rate_control_send_low(struct ieee80211_sta *sta,
-+bool rate_control_send_low(struct ieee80211_sta *pubsta,
-                          void *priv_sta,
-                          struct ieee80211_tx_rate_control *txrc)
+ static u32 ar9003_hw_compute_pll_control(struct ath_hw *ah,
+                                        struct ath9k_channel *chan)
  {
-       struct ieee80211_tx_info *info = IEEE80211_SKB_CB(txrc->skb);
-       struct ieee80211_supported_band *sband = txrc->sband;
-+      struct sta_info *sta;
-       int mcast_rate;
-+      bool use_basicrate = false;
--      if (!sta || !priv_sta || rc_no_data_or_no_ack_use_min(txrc)) {
--              __rate_control_send_low(txrc->hw, sband, sta, info);
-+      if (!pubsta || !priv_sta || rc_no_data_or_no_ack_use_min(txrc)) {
-+              __rate_control_send_low(txrc->hw, sband, pubsta, info);
--              if (!sta && txrc->bss) {
-+              if (!pubsta && txrc->bss) {
-                       mcast_rate = txrc->bss_conf->mcast_rate[sband->band];
-                       if (mcast_rate > 0) {
-                               info->control.rates[0].idx = mcast_rate - 1;
-                               return true;
-                       }
-+                      use_basicrate = true;
-+              } else if (pubsta) {
-+                      sta = container_of(pubsta, struct sta_info, sta);
-+                      if (ieee80211_vif_is_mesh(&sta->sdata->vif))
-+                              use_basicrate = true;
-+              }
+@@ -1779,7 +1796,12 @@ void ar9003_hw_attach_phy_ops(struct ath
  
--                      rc_send_low_broadcast(&info->control.rates[0].idx,
-+              if (use_basicrate)
-+                      rc_send_low_basicrate(&info->control.rates[0].idx,
-                                             txrc->bss_conf->basic_rates,
-                                             sband);
--              }
+       priv_ops->rf_set_freq = ar9003_hw_set_channel;
+       priv_ops->spur_mitigate_freq = ar9003_hw_spur_mitigate;
+-      priv_ops->compute_pll_control = ar9003_hw_compute_pll_control;
 +
-               return true;
-       }
-       return false;
---- a/drivers/net/wireless/ath/ath9k/Kconfig
-+++ b/drivers/net/wireless/ath/ath9k/Kconfig
-@@ -60,7 +60,7 @@ config ATH9K_AHB
- config ATH9K_DEBUGFS
-       bool "Atheros ath9k debugging"
--      depends on ATH9K
-+      depends on ATH9K && DEBUG_FS
-       select MAC80211_DEBUGFS
-       depends on RELAY
-       ---help---
---- a/drivers/net/wireless/ath/ath9k/ar9002_hw.c
-+++ b/drivers/net/wireless/ath/ath9k/ar9002_hw.c
-@@ -269,13 +269,12 @@ static void ar9002_hw_configpcipowersave
-                       if (ah->config.pcie_waen & AR_WA_D3_L1_DISABLE)
-                               val |= AR_WA_D3_L1_DISABLE;
-               } else {
--                      if (((AR_SREV_9285(ah) ||
--                            AR_SREV_9271(ah) ||
--                            AR_SREV_9287(ah)) &&
--                           (AR9285_WA_DEFAULT & AR_WA_D3_L1_DISABLE)) ||
--                          (AR_SREV_9280(ah) &&
--                           (AR9280_WA_DEFAULT & AR_WA_D3_L1_DISABLE))) {
--                              val |= AR_WA_D3_L1_DISABLE;
-+                      if (AR_SREV_9285(ah) || AR_SREV_9271(ah) || AR_SREV_9287(ah)) {
-+                              if (AR9285_WA_DEFAULT & AR_WA_D3_L1_DISABLE)
-+                                      val |= AR_WA_D3_L1_DISABLE;
-+                      } else if (AR_SREV_9280(ah)) {
-+                              if (AR9280_WA_DEFAULT & AR_WA_D3_L1_DISABLE)
-+                                      val |= AR_WA_D3_L1_DISABLE;
-                       }
-               }
-@@ -297,24 +296,18 @@ static void ar9002_hw_configpcipowersave
-       } else {
-               if (ah->config.pcie_waen) {
-                       val = ah->config.pcie_waen;
--                      if (!power_off)
--                              val &= (~AR_WA_D3_L1_DISABLE);
-+                      val &= (~AR_WA_D3_L1_DISABLE);
-               } else {
--                      if (AR_SREV_9285(ah) ||
--                          AR_SREV_9271(ah) ||
--                          AR_SREV_9287(ah)) {
-+                      if (AR_SREV_9285(ah) || AR_SREV_9271(ah) || AR_SREV_9287(ah)) {
-                               val = AR9285_WA_DEFAULT;
--                              if (!power_off)
--                                      val &= (~AR_WA_D3_L1_DISABLE);
--                      }
--                      else if (AR_SREV_9280(ah)) {
-+                              val &= (~AR_WA_D3_L1_DISABLE);
-+                      } else if (AR_SREV_9280(ah)) {
-                               /*
-                                * For AR9280 chips, bit 22 of 0x4004
-                                * needs to be set.
-                                */
-                               val = AR9280_WA_DEFAULT;
--                              if (!power_off)
--                                      val &= (~AR_WA_D3_L1_DISABLE);
-+                              val &= (~AR_WA_D3_L1_DISABLE);
-                       } else {
-                               val = AR_WA_DEFAULT;
-                       }
---- a/drivers/net/wireless/ath/ath9k/ar9003_hw.c
-+++ b/drivers/net/wireless/ath/ath9k/ar9003_hw.c
-@@ -153,7 +153,7 @@ static void ar9003_hw_init_mode_regs(str
-               if (!ah->is_clk_25mhz)
-                       INIT_INI_ARRAY(&ah->iniAdditional,
-                                      ar9340_1p0_radio_core_40M);
--      } else if (AR_SREV_9485_11(ah)) {
-+      } else if (AR_SREV_9485_11_OR_LATER(ah)) {
-               /* mac */
-               INIT_INI_ARRAY(&ah->iniMac[ATH_INI_CORE],
-                               ar9485_1_1_mac_core);
-@@ -424,7 +424,7 @@ static void ar9003_tx_gain_table_mode0(s
-       else if (AR_SREV_9340(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9340Modes_lowest_ob_db_tx_gain_table_1p0);
--      else if (AR_SREV_9485_11(ah))
-+      else if (AR_SREV_9485_11_OR_LATER(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9485_modes_lowest_ob_db_tx_gain_1_1);
-       else if (AR_SREV_9550(ah))
-@@ -458,7 +458,7 @@ static void ar9003_tx_gain_table_mode1(s
-       else if (AR_SREV_9340(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9340Modes_high_ob_db_tx_gain_table_1p0);
--      else if (AR_SREV_9485_11(ah))
-+      else if (AR_SREV_9485_11_OR_LATER(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9485Modes_high_ob_db_tx_gain_1_1);
-       else if (AR_SREV_9580(ah))
-@@ -492,7 +492,7 @@ static void ar9003_tx_gain_table_mode2(s
-       else if (AR_SREV_9340(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9340Modes_low_ob_db_tx_gain_table_1p0);
--      else if (AR_SREV_9485_11(ah))
-+      else if (AR_SREV_9485_11_OR_LATER(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9485Modes_low_ob_db_tx_gain_1_1);
-       else if (AR_SREV_9580(ah))
-@@ -517,7 +517,7 @@ static void ar9003_tx_gain_table_mode3(s
-       else if (AR_SREV_9340(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9340Modes_high_power_tx_gain_table_1p0);
--      else if (AR_SREV_9485_11(ah))
-+      else if (AR_SREV_9485_11_OR_LATER(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9485Modes_high_power_tx_gain_1_1);
-       else if (AR_SREV_9580(ah))
-@@ -552,7 +552,7 @@ static void ar9003_tx_gain_table_mode4(s
- static void ar9003_tx_gain_table_mode5(struct ath_hw *ah)
- {
--      if (AR_SREV_9485_11(ah))
-+      if (AR_SREV_9485_11_OR_LATER(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9485Modes_green_ob_db_tx_gain_1_1);
-       else if (AR_SREV_9340(ah))
-@@ -571,7 +571,7 @@ static void ar9003_tx_gain_table_mode6(s
-       if (AR_SREV_9340(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9340Modes_low_ob_db_and_spur_tx_gain_table_1p0);
--      else if (AR_SREV_9485_11(ah))
-+      else if (AR_SREV_9485_11_OR_LATER(ah))
-               INIT_INI_ARRAY(&ah->iniModesTxGain,
-                       ar9485Modes_green_spur_ob_db_tx_gain_1_1);
-       else if (AR_SREV_9580(ah))
-@@ -611,7 +611,7 @@ static void ar9003_rx_gain_table_mode0(s
-       else if (AR_SREV_9340(ah))
-               INIT_INI_ARRAY(&ah->iniModesRxGain,
-                               ar9340Common_rx_gain_table_1p0);
--      else if (AR_SREV_9485_11(ah))
-+      else if (AR_SREV_9485_11_OR_LATER(ah))
-               INIT_INI_ARRAY(&ah->iniModesRxGain,
-                              ar9485_common_rx_gain_1_1);
-       else if (AR_SREV_9550(ah)) {
-@@ -644,7 +644,7 @@ static void ar9003_rx_gain_table_mode1(s
-       else if (AR_SREV_9340(ah))
-               INIT_INI_ARRAY(&ah->iniModesRxGain,
-                       ar9340Common_wo_xlna_rx_gain_table_1p0);
--      else if (AR_SREV_9485_11(ah))
-+      else if (AR_SREV_9485_11_OR_LATER(ah))
-               INIT_INI_ARRAY(&ah->iniModesRxGain,
-                       ar9485Common_wo_xlna_rx_gain_1_1);
-       else if (AR_SREV_9462_21(ah))
-@@ -745,16 +745,25 @@ static void ar9003_hw_init_mode_gain_reg
- static void ar9003_hw_configpcipowersave(struct ath_hw *ah,
-                                        bool power_off)
- {
-+      /*
-+       * Increase L1 Entry Latency. Some WB222 boards don't have
-+       * this change in eeprom/OTP.
-+       *
-+       */
-+      if (AR_SREV_9462(ah)) {
-+              u32 val = ah->config.aspm_l1_fix;
-+              if ((val & 0xff000000) == 0x17000000) {
-+                      val &= 0x00ffffff;
-+                      val |= 0x27000000;
-+                      REG_WRITE(ah, 0x570c, val);
-+              }
-+      }
++      if (AR_SREV_9340(ah) || AR_SREV_9550(ah) || AR_SREV_9531(ah))
++              priv_ops->compute_pll_control = ar9003_hw_compute_pll_control_soc;
++      else
++              priv_ops->compute_pll_control = ar9003_hw_compute_pll_control;
 +
-       /* Nothing to do on restore for 11N */
-       if (!power_off /* !restore */) {
-               /* set bit 19 to allow forcing of pcie core into L1 state */
-               REG_SET_BIT(ah, AR_PCIE_PM_CTRL, AR_PCIE_PM_CTRL_ENA);
--
--              /* Several PCIe massages to ensure proper behaviour */
--              if (ah->config.pcie_waen)
--                      REG_WRITE(ah, AR_WA, ah->config.pcie_waen);
--              else
--                      REG_WRITE(ah, AR_WA, ah->WARegVal);
-+              REG_WRITE(ah, AR_WA, ah->WARegVal);
-       }
-       /*
---- a/drivers/net/wireless/ath/ath9k/ar9003_mac.c
-+++ b/drivers/net/wireless/ath/ath9k/ar9003_mac.c
-@@ -491,6 +491,7 @@ int ath9k_hw_process_rxdesc_edma(struct 
-       rxs->rs_rate = MS(rxsp->status1, AR_RxRate);
-       rxs->rs_more = (rxsp->status2 & AR_RxMore) ? 1 : 0;
-+      rxs->rs_firstaggr = (rxsp->status11 & AR_RxFirstAggr) ? 1 : 0;
-       rxs->rs_isaggr = (rxsp->status11 & AR_RxAggr) ? 1 : 0;
-       rxs->rs_moreaggr = (rxsp->status11 & AR_RxMoreAggr) ? 1 : 0;
-       rxs->rs_antenna = (MS(rxsp->status4, AR_RxAntenna) & 0x7);
---- a/drivers/net/wireless/ath/ath9k/common.c
-+++ b/drivers/net/wireless/ath/ath9k/common.c
-@@ -49,37 +49,40 @@ int ath9k_cmn_get_hw_crypto_keytype(stru
- }
- EXPORT_SYMBOL(ath9k_cmn_get_hw_crypto_keytype);
--static u32 ath9k_get_extchanmode(struct ieee80211_channel *chan,
--                               enum nl80211_channel_type channel_type)
-+static u32 ath9k_get_extchanmode(struct cfg80211_chan_def *chandef)
- {
-       u32 chanmode = 0;
--      switch (chan->band) {
-+      switch (chandef->chan->band) {
-       case IEEE80211_BAND_2GHZ:
--              switch (channel_type) {
--              case NL80211_CHAN_NO_HT:
--              case NL80211_CHAN_HT20:
-+              switch (chandef->width) {
-+              case NL80211_CHAN_WIDTH_20_NOHT:
-+              case NL80211_CHAN_WIDTH_20:
-                       chanmode = CHANNEL_G_HT20;
-                       break;
--              case NL80211_CHAN_HT40PLUS:
--                      chanmode = CHANNEL_G_HT40PLUS;
-+              case NL80211_CHAN_WIDTH_40:
-+                      if (chandef->center_freq1 > chandef->chan->center_freq)
-+                              chanmode = CHANNEL_G_HT40PLUS;
-+                      else
-+                              chanmode = CHANNEL_G_HT40MINUS;
-                       break;
--              case NL80211_CHAN_HT40MINUS:
--                      chanmode = CHANNEL_G_HT40MINUS;
-+              default:
-                       break;
-               }
-               break;
-       case IEEE80211_BAND_5GHZ:
--              switch (channel_type) {
--              case NL80211_CHAN_NO_HT:
--              case NL80211_CHAN_HT20:
-+              switch (chandef->width) {
-+              case NL80211_CHAN_WIDTH_20_NOHT:
-+              case NL80211_CHAN_WIDTH_20:
-                       chanmode = CHANNEL_A_HT20;
-                       break;
--              case NL80211_CHAN_HT40PLUS:
--                      chanmode = CHANNEL_A_HT40PLUS;
-+              case NL80211_CHAN_WIDTH_40:
-+                      if (chandef->center_freq1 > chandef->chan->center_freq)
-+                              chanmode = CHANNEL_A_HT40PLUS;
-+                      else
-+                              chanmode = CHANNEL_A_HT40MINUS;
-                       break;
--              case NL80211_CHAN_HT40MINUS:
--                      chanmode = CHANNEL_A_HT40MINUS;
-+              default:
-                       break;
-               }
-               break;
-@@ -94,13 +97,12 @@ static u32 ath9k_get_extchanmode(struct 
-  * Update internal channel flags.
-  */
- void ath9k_cmn_update_ichannel(struct ath9k_channel *ichan,
--                             struct ieee80211_channel *chan,
--                             enum nl80211_channel_type channel_type)
-+                             struct cfg80211_chan_def *chandef)
- {
--      ichan->channel = chan->center_freq;
--      ichan->chan = chan;
-+      ichan->channel = chandef->chan->center_freq;
-+      ichan->chan = chandef->chan;
--      if (chan->band == IEEE80211_BAND_2GHZ) {
-+      if (chandef->chan->band == IEEE80211_BAND_2GHZ) {
-               ichan->chanmode = CHANNEL_G;
-               ichan->channelFlags = CHANNEL_2GHZ | CHANNEL_OFDM;
-       } else {
-@@ -108,8 +110,22 @@ void ath9k_cmn_update_ichannel(struct at
-               ichan->channelFlags = CHANNEL_5GHZ | CHANNEL_OFDM;
-       }
--      if (channel_type != NL80211_CHAN_NO_HT)
--              ichan->chanmode = ath9k_get_extchanmode(chan, channel_type);
-+      switch (chandef->width) {
-+      case NL80211_CHAN_WIDTH_5:
-+              ichan->channelFlags |= CHANNEL_QUARTER;
-+              break;
-+      case NL80211_CHAN_WIDTH_10:
-+              ichan->channelFlags |= CHANNEL_HALF;
-+              break;
-+      case NL80211_CHAN_WIDTH_20_NOHT:
-+              break;
-+      case NL80211_CHAN_WIDTH_20:
-+      case NL80211_CHAN_WIDTH_40:
-+              ichan->chanmode = ath9k_get_extchanmode(chandef);
-+              break;
-+      default:
-+              WARN_ON(1);
-+      }
- }
- EXPORT_SYMBOL(ath9k_cmn_update_ichannel);
-@@ -125,8 +141,7 @@ struct ath9k_channel *ath9k_cmn_get_curc
-       chan_idx = curchan->hw_value;
-       channel = &ah->channels[chan_idx];
--      ath9k_cmn_update_ichannel(channel, curchan,
--                                cfg80211_get_chandef_type(&hw->conf.chandef));
-+      ath9k_cmn_update_ichannel(channel, &hw->conf.chandef);
-       return channel;
- }
---- a/drivers/net/wireless/ath/ath9k/common.h
-+++ b/drivers/net/wireless/ath/ath9k/common.h
-@@ -44,8 +44,7 @@
- int ath9k_cmn_get_hw_crypto_keytype(struct sk_buff *skb);
- void ath9k_cmn_update_ichannel(struct ath9k_channel *ichan,
--                             struct ieee80211_channel *chan,
--                             enum nl80211_channel_type channel_type);
-+                             struct cfg80211_chan_def *chandef);
- struct ath9k_channel *ath9k_cmn_get_curchannel(struct ieee80211_hw *hw,
-                                              struct ath_hw *ah);
- int ath9k_cmn_count_streams(unsigned int chainmask, int max);
---- a/drivers/net/wireless/ath/ath9k/hif_usb.c
-+++ b/drivers/net/wireless/ath/ath9k/hif_usb.c
-@@ -115,10 +115,10 @@ static int hif_usb_send_regout(struct hi
-       cmd->skb = skb;
-       cmd->hif_dev = hif_dev;
--      usb_fill_bulk_urb(urb, hif_dev->udev,
--                       usb_sndbulkpipe(hif_dev->udev, USB_REG_OUT_PIPE),
-+      usb_fill_int_urb(urb, hif_dev->udev,
-+                       usb_sndintpipe(hif_dev->udev, USB_REG_OUT_PIPE),
-                        skb->data, skb->len,
--                       hif_usb_regout_cb, cmd);
-+                       hif_usb_regout_cb, cmd, 1);
-       usb_anchor_urb(urb, &hif_dev->regout_submitted);
-       ret = usb_submit_urb(urb, GFP_KERNEL);
-@@ -723,11 +723,11 @@ static void ath9k_hif_usb_reg_in_cb(stru
-                       return;
-               }
--              usb_fill_bulk_urb(urb, hif_dev->udev,
--                               usb_rcvbulkpipe(hif_dev->udev,
-+              usb_fill_int_urb(urb, hif_dev->udev,
-+                               usb_rcvintpipe(hif_dev->udev,
-                                                USB_REG_IN_PIPE),
-                                nskb->data, MAX_REG_IN_BUF_SIZE,
--                               ath9k_hif_usb_reg_in_cb, nskb);
-+                               ath9k_hif_usb_reg_in_cb, nskb, 1);
-       }
- resubmit:
-@@ -909,11 +909,11 @@ static int ath9k_hif_usb_alloc_reg_in_ur
-                       goto err_skb;
-               }
--              usb_fill_bulk_urb(urb, hif_dev->udev,
--                                usb_rcvbulkpipe(hif_dev->udev,
-+              usb_fill_int_urb(urb, hif_dev->udev,
-+                                usb_rcvintpipe(hif_dev->udev,
-                                                 USB_REG_IN_PIPE),
-                                 skb->data, MAX_REG_IN_BUF_SIZE,
--                                ath9k_hif_usb_reg_in_cb, skb);
-+                                ath9k_hif_usb_reg_in_cb, skb, 1);
-               /* Anchor URB */
-               usb_anchor_urb(urb, &hif_dev->reg_in_submitted);
-@@ -1031,9 +1031,7 @@ static int ath9k_hif_usb_download_fw(str
- static int ath9k_hif_usb_dev_init(struct hif_device_usb *hif_dev)
+       priv_ops->set_channel_regs = ar9003_hw_set_channel_regs;
+       priv_ops->init_bb = ar9003_hw_init_bb;
+       priv_ops->process_ini = ar9003_hw_process_ini;
+--- a/drivers/net/wireless/ath/ath9k/hw.c
++++ b/drivers/net/wireless/ath/ath9k/hw.c
+@@ -702,6 +702,8 @@ static void ath9k_hw_init_pll(struct ath
  {
--      struct usb_host_interface *alt = &hif_dev->interface->altsetting[0];
--      struct usb_endpoint_descriptor *endp;
--      int ret, idx;
-+      int ret;
-       ret = ath9k_hif_usb_download_fw(hif_dev);
-       if (ret) {
-@@ -1043,20 +1041,6 @@ static int ath9k_hif_usb_dev_init(struct
-               return ret;
-       }
--      /* On downloading the firmware to the target, the USB descriptor of EP4
--       * is 'patched' to change the type of the endpoint to Bulk. This will
--       * bring down CPU usage during the scan period.
--       */
--      for (idx = 0; idx < alt->desc.bNumEndpoints; idx++) {
--              endp = &alt->endpoint[idx].desc;
--              if ((endp->bmAttributes & USB_ENDPOINT_XFERTYPE_MASK)
--                              == USB_ENDPOINT_XFER_INT) {
--                      endp->bmAttributes &= ~USB_ENDPOINT_XFERTYPE_MASK;
--                      endp->bmAttributes |= USB_ENDPOINT_XFER_BULK;
--                      endp->bInterval = 0;
--              }
--      }
--
-       /* Alloc URBs */
-       ret = ath9k_hif_usb_alloc_urbs(hif_dev);
-       if (ret) {
-@@ -1268,7 +1252,7 @@ static void ath9k_hif_usb_reboot(struct 
-       if (!buf)
-               return;
+       u32 pll;
  
--      ret = usb_bulk_msg(udev, usb_sndbulkpipe(udev, USB_REG_OUT_PIPE),
-+      ret = usb_interrupt_msg(udev, usb_sndintpipe(udev, USB_REG_OUT_PIPE),
-                          buf, 4, NULL, HZ);
-       if (ret)
-               dev_err(&udev->dev, "ath9k_htc: USB reboot failed\n");
---- a/drivers/net/wireless/ath/ath9k/htc_drv_main.c
-+++ b/drivers/net/wireless/ath/ath9k/htc_drv_main.c
-@@ -1203,16 +1203,13 @@ static int ath9k_htc_config(struct ieee8
-       if ((changed & IEEE80211_CONF_CHANGE_CHANNEL) || chip_reset) {
-               struct ieee80211_channel *curchan = hw->conf.chandef.chan;
--              enum nl80211_channel_type channel_type =
--                      cfg80211_get_chandef_type(&hw->conf.chandef);
-               int pos = curchan->hw_value;
-               ath_dbg(common, CONFIG, "Set channel: %d MHz\n",
-                       curchan->center_freq);
-               ath9k_cmn_update_ichannel(&priv->ah->channels[pos],
--                                        hw->conf.chandef.chan,
--                                        channel_type);
-+                                        &hw->conf.chandef);
-               if (ath9k_htc_set_channel(priv, hw, &priv->ah->channels[pos]) < 0) {
-                       ath_err(common, "Unable to set channel\n");
---- a/drivers/net/wireless/ath/ath9k/htc_drv_txrx.c
-+++ b/drivers/net/wireless/ath/ath9k/htc_drv_txrx.c
-@@ -448,6 +448,7 @@ static void ath9k_htc_tx_process(struct 
-       struct ieee80211_conf *cur_conf = &priv->hw->conf;
-       bool txok;
-       int slot;
-+      int hdrlen, padsize;
++      pll = ath9k_hw_compute_pll_control(ah, chan);
++
+       if (AR_SREV_9485(ah) || AR_SREV_9565(ah)) {
+               /* program BB PLL ki and kd value, ki=0x4, kd=0x40 */
+               REG_RMW_FIELD(ah, AR_CH0_BB_DPLL2,
+@@ -752,7 +754,8 @@ static void ath9k_hw_init_pll(struct ath
+               REG_RMW_FIELD(ah, AR_CH0_DDR_DPLL3,
+                             AR_CH0_DPLL3_PHASE_SHIFT, 0x1);
  
-       slot = strip_drv_header(priv, skb);
-       if (slot < 0) {
-@@ -504,6 +505,15 @@ send_mac80211:
+-              REG_WRITE(ah, AR_RTC_PLL_CONTROL, 0x1142c);
++              REG_WRITE(ah, AR_RTC_PLL_CONTROL,
++                        pll | AR_RTC_9300_PLL_BYPASS);
+               udelay(1000);
  
-       ath9k_htc_tx_clear_slot(priv, slot);
+               /* program refdiv, nint, frac to RTC register */
+@@ -768,7 +771,8 @@ static void ath9k_hw_init_pll(struct ath
+       } else if (AR_SREV_9340(ah) || AR_SREV_9550(ah) || AR_SREV_9531(ah)) {
+               u32 regval, pll2_divint, pll2_divfrac, refdiv;
  
-+      /* Remove padding before handing frame back to mac80211 */
-+      hdrlen = ieee80211_get_hdrlen_from_skb(skb);
-+
-+      padsize = hdrlen & 3;
-+      if (padsize && skb->len > hdrlen + padsize) {
-+              memmove(skb->data + padsize, skb->data, hdrlen);
-+              skb_pull(skb, padsize);
-+      }
-+
-       /* Send status to mac80211 */
-       ieee80211_tx_status(priv->hw, skb);
- }
---- a/drivers/net/wireless/ath/ath9k/link.c
-+++ b/drivers/net/wireless/ath/ath9k/link.c
-@@ -41,7 +41,7 @@ void ath_tx_complete_poll_work(struct wo
-                               txq->axq_tx_inprogress = true;
-                       }
-               }
--              ath_txq_unlock_complete(sc, txq);
-+              ath_txq_unlock(sc, txq);
-       }
+-              REG_WRITE(ah, AR_RTC_PLL_CONTROL, 0x1142c);
++              REG_WRITE(ah, AR_RTC_PLL_CONTROL,
++                        pll | AR_RTC_9300_SOC_PLL_BYPASS);
+               udelay(1000);
  
-       if (needreset) {
---- a/drivers/net/wireless/ath/ath9k/mac.c
-+++ b/drivers/net/wireless/ath/ath9k/mac.c
-@@ -583,9 +583,9 @@ int ath9k_hw_rxprocdesc(struct ath_hw *a
-       rs->rs_rate = MS(ads.ds_rxstatus0, AR_RxRate);
-       rs->rs_more = (ads.ds_rxstatus1 & AR_RxMore) ? 1 : 0;
-+      rs->rs_firstaggr = (ads.ds_rxstatus8 & AR_RxFirstAggr) ? 1 : 0;
-       rs->rs_isaggr = (ads.ds_rxstatus8 & AR_RxAggr) ? 1 : 0;
--      rs->rs_moreaggr =
--              (ads.ds_rxstatus8 & AR_RxMoreAggr) ? 1 : 0;
-+      rs->rs_moreaggr = (ads.ds_rxstatus8 & AR_RxMoreAggr) ? 1 : 0;
-       rs->rs_antenna = MS(ads.ds_rxstatus3, AR_RxAntenna);
-       /* directly mapped flags for ieee80211_rx_status */
---- a/drivers/net/wireless/ath/ath9k/mac.h
-+++ b/drivers/net/wireless/ath/ath9k/mac.h
-@@ -140,6 +140,7 @@ struct ath_rx_status {
-       int8_t rs_rssi_ext1;
-       int8_t rs_rssi_ext2;
-       u8 rs_isaggr;
-+      u8 rs_firstaggr;
-       u8 rs_moreaggr;
-       u8 rs_num_delims;
-       u8 rs_flags;
-@@ -569,6 +570,7 @@ struct ar5416_desc {
- #define AR_RxAggr           0x00020000
- #define AR_PostDelimCRCErr  0x00040000
- #define AR_RxStatusRsvd71   0x3ff80000
-+#define AR_RxFirstAggr      0x20000000
- #define AR_DecryptBusyErr   0x40000000
- #define AR_KeyMiss          0x80000000
---- a/drivers/net/wireless/ath/ath9k/rc.c
-+++ b/drivers/net/wireless/ath/ath9k/rc.c
-@@ -1324,8 +1324,8 @@ static void ath_rate_update(void *priv, 
-               ath_rc_init(sc, priv_sta);
-               ath_dbg(ath9k_hw_common(sc->sc_ah), CONFIG,
--                      "Operating HT Bandwidth changed to: %d\n",
--                      cfg80211_get_chandef_type(&sc->hw->conf.chandef));
-+                      "Operating Bandwidth changed to: %d\n",
-+                      sc->hw->conf.chandef.width);
+               REG_SET_BIT(ah, AR_PHY_PLL_MODE, 0x1 << 16);
+@@ -840,7 +844,6 @@ static void ath9k_hw_init_pll(struct ath
+               udelay(1000);
        }
- }
  
+-      pll = ath9k_hw_compute_pll_control(ah, chan);
+       if (AR_SREV_9565(ah))
+               pll |= 0x40000;
+       REG_WRITE(ah, AR_RTC_PLL_CONTROL, pll);
 --- a/drivers/net/wireless/ath/ath9k/reg.h
 +++ b/drivers/net/wireless/ath/ath9k/reg.h
-@@ -893,9 +893,9 @@
- #define AR_SREV_9485(_ah) \
-       (((_ah)->hw_version.macVersion == AR_SREV_VERSION_9485))
--#define AR_SREV_9485_11(_ah) \
--      (AR_SREV_9485(_ah) && \
--       ((_ah)->hw_version.macRev == AR_SREV_REVISION_9485_11))
-+#define AR_SREV_9485_11_OR_LATER(_ah) \
-+      (((_ah)->hw_version.macVersion == AR_SREV_VERSION_9485) && \
-+       ((_ah)->hw_version.macRev >= AR_SREV_REVISION_9485_11))
- #define AR_SREV_9485_OR_LATER(_ah) \
-       (((_ah)->hw_version.macVersion >= AR_SREV_VERSION_9485))
+@@ -1236,12 +1236,23 @@ enum {
+ #define AR_CH0_DPLL3_PHASE_SHIFT_S   23
+ #define AR_PHY_CCA_NOM_VAL_2GHZ      -118
++#define AR_RTC_9300_SOC_PLL_DIV_INT          0x0000003f
++#define AR_RTC_9300_SOC_PLL_DIV_INT_S        0
++#define AR_RTC_9300_SOC_PLL_DIV_FRAC         0x000fffc0
++#define AR_RTC_9300_SOC_PLL_DIV_FRAC_S       6
++#define AR_RTC_9300_SOC_PLL_REFDIV           0x01f00000
++#define AR_RTC_9300_SOC_PLL_REFDIV_S         20
++#define AR_RTC_9300_SOC_PLL_CLKSEL           0x06000000
++#define AR_RTC_9300_SOC_PLL_CLKSEL_S         25
++#define AR_RTC_9300_SOC_PLL_BYPASS           0x08000000
++
+ #define AR_RTC_9300_PLL_DIV          0x000003ff
+ #define AR_RTC_9300_PLL_DIV_S        0
+ #define AR_RTC_9300_PLL_REFDIV       0x00003C00
+ #define AR_RTC_9300_PLL_REFDIV_S     10
+ #define AR_RTC_9300_PLL_CLKSEL       0x0000C000
+ #define AR_RTC_9300_PLL_CLKSEL_S     14
++#define AR_RTC_9300_PLL_BYPASS       0x00010000
+ #define AR_RTC_9160_PLL_DIV   0x000003ff
+ #define AR_RTC_9160_PLL_DIV_S   0
+--- a/drivers/net/wireless/ath/ath9k/htc_drv_txrx.c
++++ b/drivers/net/wireless/ath/ath9k/htc_drv_txrx.c
+@@ -978,7 +978,7 @@ static bool ath9k_rx_prepare(struct ath9
+       struct ath_hw *ah = common->ah;
+       struct ath_htc_rx_status *rxstatus;
+       struct ath_rx_status rx_stats;
+-      bool decrypt_error;
++      bool decrypt_error = false;
  
+       if (skb->len < HTC_RX_FRAME_HEADER_SIZE) {
+               ath_err(common, "Corrupted RX frame, dropping (len: %d)\n",