From 066e04d497ed757e35eb6b7eff206b5f676168cf Mon Sep 17 00:00:00 2001 From: Gabor Juhos Date: Sun, 19 Jun 2011 13:17:50 +0000 Subject: [PATCH] ar71xx: fix uart clock frequency for AR933X SVN-Revision: 27221 --- target/linux/ar71xx/files/arch/mips/ar71xx/devices.c | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/target/linux/ar71xx/files/arch/mips/ar71xx/devices.c b/target/linux/ar71xx/files/arch/mips/ar71xx/devices.c index 03de1fc6f8..05ce97765b 100644 --- a/target/linux/ar71xx/files/arch/mips/ar71xx/devices.c +++ b/target/linux/ar71xx/files/arch/mips/ar71xx/devices.c @@ -102,7 +102,7 @@ void __init ar71xx_add_device_uart(void) case AR71XX_SOC_AR9330: case AR71XX_SOC_AR9331: pdev = &ar933x_uart_device; - ar933x_uart_data.uartclk = ar71xx_ahb_freq; + ar933x_uart_data.uartclk = ar71xx_ref_freq; break; case AR71XX_SOC_AR9341: -- 2.30.2