fix the value of ac_cv_sizeof_off_t in the sitefiles (reported by crazy_imp)
[openwrt/svn-archive/archive.git] / include / site / powerpc-linux-uclibc
index 5ea25159a6a50de71ef2aa8371e598846af8ef32..f0ec10b76575acd94d466a5ed49fc8462f8a5f76 100644 (file)
@@ -12,7 +12,7 @@ ac_cv_sizeof_int64_t=8
 ac_cv_sizeof_long_int=4
 ac_cv_sizeof_long_long=8
 ac_cv_sizeof_long=4
-ac_cv_sizeof_off_t=8
+ac_cv_sizeof_off_t=4
 ac_cv_sizeof_short_int=2
 ac_cv_sizeof_short=2
 ac_cv_sizeof_size_t=4